簡易檢索 / 詳目顯示

研究生: 簡才淦
Chien, Tsai-Kan
論文名稱: 高能量效益電阻式非揮發性微處理器
Highly Energy-Efficient ReRAM-Based Nonvolatile Microprocessors
指導教授: 邱瀝毅
Chiou, Lih-Yih
學位類別: 博士
Doctor
系所名稱: 電機資訊學院 - 電機工程學系
Department of Electrical Engineering
論文出版年: 2018
畢業學年度: 106
語文別: 英文
論文頁數: 120
中文關鍵詞: 電阻式記憶體非揮發性記憶體常閉瞬開運算非揮發性微處理器物聯網感測系統低能耗
外文關鍵詞: Resistive random access memory (ReRAM), Nonvolatile memory (NVM), Nonvolatile microprocessor (NV-μP), Normally-off computing (NoC), Internet-of-Things (IoT), Sensor system, Low energy consumption
相關次數: 點閱:90下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 本論文主要是研究高效益節能非揮發性微處理器,利用非揮發性記憶體在無電源情況下依然可維持資料的特性,相較一般微處理器有助於降低系統待機能耗。在此提出兩大電路:非揮發性感測匯流器、非揮發性微處理器。在電路內皆嵌入非揮發性電阻式記憶體,為建置低能耗非揮發性微處理器,研究出各項低能耗非揮發性混合式電路,如非揮發性正反器、非揮發性靜態隨機存取記憶體、非揮發性內容可定址記憶體;以及控制電路的相關低能耗系統設計,如非揮發性記憶體控制器、非揮發性正反器控制器。
    研究的低功耗微控制器內嵌電阻式記憶體可應用於物聯網感測匯流器,主要提出微控制器架構中,以嵌入電阻式記憶體作為資料緩衝器。該電阻式記憶體具有抗製程變異之讀取感測放大器,微控制器可依具位元錯誤率調整感測放大器之參考電流放大倍率,相較於傳統感測放大器可降低32%讀取位元錯誤率;若包含記憶體控制器的自我修補電路與BCH錯誤位元校正碼可提升電阻式記憶體良率至100%。另在系統模擬方式比較其他四種新興非揮發性記憶體作為資料緩衝器在系統運算速度與功耗上的差異。現已完成8位元8051微控制器內嵌256 Kb電阻式記憶體晶片,該方法實現於TSMC 0.18 μm CMOS前段製程與工研院電阻式記憶體後段製程。
    另一成果,實現具常閉瞬開運算技術之低能耗非揮發性微處理器,以16位元MSP430為基礎架構,內嵌電阻式記憶體、低資料備存能耗非揮發性正反器、非揮發性靜態隨機存取記憶體,以及非揮發性正反器控制器。若用90 nm製程模擬,比較過往的非揮發性微處理器,提出的電路與系統可降低資料備存/回復的時間與能耗並節省面積,且有效提升使用年限與資料回復穩定性。

    The nonvolatile memory (NVM) can maintain data in power-off state to reduce system idle energy consumption. This work proposes two new breeds of microprocessors with embedded resistive random-access memories (ReRAMs) from the circuit to the system levels: a nonvolatile (NV) sensor hub and a NV microprocessor (NV-μP). To build a low energy NV-μP, the low energy NV hybrid circuits are designed and developed such as NV flip-flop (NV-FF), NV static random access memory, and NV content-address memory. At the system level, the NV circuit controllers including the NVM and NV-FF to achieve low energy designs.
    The proposed low power microcontroller unit (MCU) with embedded ReRAM can apply to a sensor hub, as in a precomputation system of Internet-of-Things. This idea is to make the embedded ReRAM as a data buffer. The ReRAM was equipped with a novel sense amplifier that had three magnification times for the reference cell current, to increase the read yield by 32%. Furthermore, the ReRAM controller included built-in self-repair and a shortened Bose–Chaudhuri–Hocquenghem (99, 64, 5) error-correlating code can yield ReRAM up to approximately 100%. The embedded ReRAM architecture was compared with the other four types of NVMs in system speed and power consumption. An 8-bit 8051 MCU with an embedded 256Kb ReRAM was thus implemented to verify the concept. The chip was manufactured using 0.18 μm CMOS front-end-of-line (FEOL) process and Industrial Technology Research Institute (ITRI) ReRAM back-end-of-line (BEOL) process.
    The other study proposes a low energy NV-μP using normally-off computing technology. It is based on a 16-bit MSP430-compatilbe architecture with an embedded ReRAM, low store energy NV-FFs, a NV-SRAM, and a NV-FF controller. Then the proposed design when compared with prior NV-μPs can reduce store/restore time, energy consumption, and area overhead for simulations using 90 nm technology. Besides, the proposed system mechanisms increase lifetime and data recovery stability.

    Contents vi List of Tables viii List of Figures ix Chapter 1 Introduction 1 1.1 Background 1 1.2 Motivation 2 1.3 Contributions 4 1.4 Organization 5 Chapter 2 Emerging Nonvolatile Memories 7 2.1 Preamble 7 2.2 Resistive Random Access Memory 9 2.2.1 ReRAM Device 9 2.2.2 ReRAM Operation 11 2.2.3 ReRAM Cell Architecture 13 2.3 Other Emerging NVMs 15 2.3.1 Spin-Torque-Transfer Magnetic Random Access Memory 15 2.3.2 Ferroelectric Random Access Memory 16 2.3.3 Phase Change Random Access Memory 17 2.3.4 Conducting Bridge Random Access Memory 18 2.4 Summary 19 Chapter 3 An IoT Sensor Hub using Embedded ReRAM MCU 21 3.1 Preamble 21 3.2 Proposed Embedded Resistive Random Access Memory 22 3.2.1 ReRAM Macro Design 23 3.2.2 Write-and-Verify Scheme 24 3.2.3 Process-Resilient Sense Amplifier 25 3.2.4 ReRAM Controller 26 3.3 Low Power Microcontroller Unit 28 3.4 Simulation Results 30 3.5 Chip Measurements and Demo 35 3.6 Summary 39 Chapter 4 Normally-Off Computing Technology 41 4.1 Introduction 41 4.2 Proposed Low Store Energy Hybrid NV Circuits 44 4.2.1 Low Store Energy and Robust ReRAM-Based NV-FF 44 4.2.2 Write-Energy-Saving ReRAM-Based NV-SRAM with Redundant Bit-Write-Aware Controller 49 4.2.3 Low Store Energy ReRAM-Based NV-BCAM 54 4.3 Low Current V-ReRAM 60 4.4 Summary 69 Chapter 5 Prior Nonvolatile Microprocessors 70 5.1 Preamble 70 5.2 Realized Hardware Approaches for NV-μPs 70 5.2.1 Full Replacement Approach 71 5.2.2 PACC Approach 72 5.2.3 SPAC Approach 73 5.2.4 NV-Logic Array Approach 74 5.2.5 Time-Space Domain Adaption and Self-Write Termination 75 Approach 75 5.3 Software Approaches for NV-μPs 75 5.3.1 Feasible Backup Position 76 5.3.2 Consistency-Aware Checkpoint Inserting 77 5.4 Summary 79 Chapter 6 A High Energy Efficient, Endurance, and Reliable ReRAM-Based Nonvolatile Microprocessor 81 6.1 Preamble 81 6.2 Architecture Design of NV-μP 81 6.3 Nonvolatile Flip-Flop Array Controller 82 6.3.1 Selective Backup System States (SEBSYS) 83 6.3.2 Selective Store for Eliminating Redundant Store (SESERS) 84 6.3.3 Redundant Operations Removal (ROR) 85 6.3.4 NV-FF Blocking and Swapping (NV-FF B&S) 87 6.3.5 Programmable Restore Entry Decision (PREDEC) 92 6.4 Performance Comparisons of Various NV-μPs 96 6.5 Summary 97 Chapter 7 Conclusions and Future Works 98 7.1 Conclusions 98 7.2 Future Works 99 References 100 Biography 120

    [1] K.-R. Lo, “Intelligent life applications of IoT,” Chunghwa Telecom Laboratories speech power point, Aug. 2016.
    [2] X. Liu and E. Sánchez-Sinenci, “An 86% Efficiency 12 µW Self-Sustaining PV Energy Harvesting System With Hysteresis Regulation and Time-Domain MPPT for IOT Smart Nodes,” IEEE J. Solid-State Circuits, vol. 50, no. 6, pp. 1424-1437, Jun. 2015.
    [3] D. Porcarelli, D. Spenza, D. Brunelli, A. Cammarano, C. Petrioli, and L. Benini, “Adaptive Rectifier Driven by Power Intake Predictors for Wind Energy Harvesting Sensor Networks,” IEEE J. Emerging and Selected Topics in Power Electronics, vol. 3, no. 2, pp. 471-482, Jun. 2015.
    [4] L. Roselli, N. Borges Carvalho, F. Alimenti, P. Mezzanotte, G. Orecchini, M. Virili, C. Mariotti, R. Gonçalves, and P. Pinho, “Smart Surfaces: Large Area Electronics Systems for Internet of Things Enabled by Energy Harvesting,” Proceedings of the IEEE, vol. 102, no. 11, pp. 1723-1746, Nov. 2014.
    [5] J. Davis, J. Sankman, and D. Ma, “An Input-Powered 1.1-μA IQ 13.56 MHz RF Energy Harvesting System for Biomedical Implantable Devices,” IEEE International Conference on ASIC (ASICON), 2015, pp. 1-4.
    [6] S. Yu and P.-Y. Chen, “Emerging Memory Technologies: Recent Trends and Prospects,” IEEE Solid-State Circuits Magazine, vol. 8, no. 2, pp. 43-56, Spring 2016.
    [7] C. Perera, P. Jayaraman, A. Zaslavsky, P. Christen, and D. Georgakopoulos, “Dynamic Configuration of Sensors Using Mobile Sensor Hub in Internet of Things Paradigm,” IEEE International Conference on Intelligent Sensors, Sensor Networks and Information Processing (ISSNIP), 2013, pp. 473-478.
    [8] M. Stanley, S. Gervais-Ducouret, and J. T Adams, “Intelligent sensor hub benefits for wireless sensor networks,” IEEE Sensors Applications Symposium Proceedings, 2012, pp. 1-6.
    [9] T.-K. Chien, L.-Y. Chiou, C.-C. Lee, Y.-C. Chuang, S.-H. Ke, S.-S. Sheu, H.-Y. Li, P.-H. Wang, T.-K. Ku, M.-J. Tsai, and C.-I Wu, “An Energy-Efficient Nonvolatile Microprocessor Considering Software-Hardware Interaction for Energy Harvesting Applications,” in IEEE Int. Symp. on VLSI Design, Automation and Test (VLSI-DAT), 2016, pp. 1–4.
    [10] K. Fukuda, Y. Watanabe, E. Makino, K. Kawakami, J. Sato, T. Takagiwa, N. Kanagawa, H. Shiga, N. Tokiwa, Y. Shindo, T. Ogawa, T. Edahiro, M. Iwai, O. Nagao, J. Musha, T. Minamoto, Y. Furuta, K. Yanagidaira, Y. Suzuki, D. Nakamura, Y. Hosomura, R. Tanaka, H. Komai, M. Muramoto, G. Shikata, A. Yuminaka, K. Sakurai, M. Sakai, H. Ding, M. Watanabe, Y. Kato, T. Miwa, A. Mak, M. Nakamichi, G. Hemink, D. Lee, M. Higashitani, B. Murphy, B. Lei, Y. Matsunaga, K. Naruke, and T. Hara, “A 151-mm2 64-Gb 2 Bit/Cell NAND Flash Memory in 24-nm CMOS Technology,” IEEE J. Solid-State Circuits, vol. 47, no. 1, pp. 75-84, Jan. 2012.
    [11] M. Sako, Y. Watanabe, T. Nakajima, J. Sato, K. Muraoka, M. Fujiu, F. Kono, M. Nakagawa, M. Masuda, K. Kato, Y. Terada, Y. Shimizu, M. Honma, A. Imamoto, T. Araya, H. Konno, T. Okanaga, T. Fujimura, X. Wang, M. Muramoto, M. Kamoshida, M. Kohno, Y. Suzuki, T. Hashiguchi, T. Kobayashi, M. Yamaoka, and R. Yamashita, “A Low Power 64 Gb MLC NAND-Flash Memory in 15 nm CMOS Technology,” IEEE J. Solid-State Circuits, vol. 51, no. 1, pp. 196-203, Jan. 2016.
    [12] S. Aritome, “NAND Flash Innovations,” IEEE Solid-State Circuits Magazine, vol. 5, no. 4, pp. 21-29, 2013.
    [13] T. Hatanaka, K. Ishida, T. Yasufuku, S. Miyamoto, H. Nakai, M. Takamiya, T. Sakurai, and K. Takeuchi, “A 60% Higher Write Speed, 4.2Gbps, 24-Channel 3D-Solid State Drive (SSD) with NAND Flash Channel Number Detector and Intelligent Program-Voltage Booster,” in IEEE Symp. VLSI Technology Tech. Dig., 2010. pp. 233-234.
    [14] E.-S. Choi, H.-S. Yoo, H.-S. Joo, G.-S. Cho, S.-K. Park, and S.-K. Lee, “A Novel 3D Cell Array Architecture for Terra-bit NAND Flash Memory,” in IEEE Int. Memory Workshop (IMW) Tech. Dig., 2011, pp. 1-4.
    [15] N. M. Hossain, M. B. Hossain, and M. H Chowdhury, “Multilayer Layer Graphene Nanoribbon Flash Memory: Analysis of Programming and Erasing Operation,” in IEEE International System-on-Chip Conference (SOCC), 2014, pp. 24-28.
    [16] K. Takeuchi, “NAND Flash Application and Solution,” IEEE Solid-State Circuits Magazine, vol. 5, no. 4, pp. 34-40, 2013.
    [17] S. Zhang, J. Xiao, G. Yang, J. Hu, and S. Zou, “A Novel Sourceline Voltage Compensation Circuit and a Wordline Voltage-Generating System for Embedded NOR Flash Memory,” IEEE Trans. Circuits and Systems-II: Express Briefs, vol. 61, no. 9, pp. 691-695, Sep. 2014.
    [18] P.-F. Chiu, M.-F. Chang, C.-W. Wu, C.-H. Chuang, S.-S. Sheu, Y.-S. Chen, and M.-J. Tsai, “Low Store Energy, Low VDDmin, 8T2R Non-volatile Latch and SRAM With Vertical-Stacked Resistive Memory (Memristor) Devices for Low Power Mobile Applications,” IEEE J. Solid-State Circuits, vol. 47, no. 6, pp. 1483-1496, Jun. 2012.
    [19] J. Yang, D. Strukov, D. Strukov, J. Borghetti, M. Picket, M. Picket, J. P. Stracham, D. Ohlberg, D. Stewart, P. Kuekes, and S. Williams, “Physics and Materials Science of Memristive of Memristive Devices,” HP Lab., Palo Alto, CA, 2008.
    [20] R. Stanley Williams, “How We Found the Missing Memristor,” IEEE Spectrum, vol. 45, no. 12, pp. 28-35, Dec. 2008.
    [21] S.-S. Sheu, P.-C. Chiang, W.-P. Lin, H.-Y. Lee, P.-S. Chen, Y.-S. Chen, T.-Y. Wu, F. T. Chen, K.-L. Su, M.-J. Kao, K.-H. Cheng, and M.-J. Tsai, “A 5ns Fast Write Multi-Level Non-Volatile 1K bits RRAM Memory with Advance Write Scheme,” in IEEE Symp. VLSI Circuit Tech. Dig., 2009. pp. 82-83.
    [22] H.-Y. Lee, “Key Issue in ReRAM Technology,” EOSL/ITRI Technology Report, pp. 44-46, 2013.
    [23] R. Liu, D. Mahalanabis, H. J. Barnaby, and S. Yu, “Investigation of Single-Bit and Multiple-Bit Upsets in Oxide RRAM-Based 1T1R and Crossbar Memory Arrays,” IEEE Trans. Nuclear Science, vol. 62, no. 5, pp. 2294-2301, Oct. 2015.
    [24] M.-F. Chang, C.-C. Kuo, S.-S. Sheu, C.-J. Lin, Y.-C. King, Z.-H. Lin, K.-L. Su, Y.-S. Chen, W.-P. Lin, H.-Y. Lee, C.-H. Tsai, W.-S. Chen, F. T. Chen, T.-K. Ku, M.-J. Kao, M.-J. Tsai, J.-J. Wu, Y.-D. Chih, and S. Natarajan, “Area-Efficient Embedded RRAM Macros with Sub-5ns Random-Read-Access-Time Using Logic-Process Parasitic-BJT-Switch (0T1R) Cell and Read-Disturb-Free Temperature-Aware Current-Mode Read Scheme,” in IEEE Symp. VLSI Circuit Tech. Dig., 2013. pp. C112-C113.
    [25] W. Liu, X. A. Tran, Z. Fang, H. D. Xiong, and H. Y. Yu, “A Self-Compliant One-Diode-One-Resistor Bipolar Resistive Random Access Memory for Low Power Application,” IEEE Electron Device Letters, vol. 35, no. 2, pp. 196-198, Feb. 2014.
    [26] Y. Deng, H.-Y. Chen, B. Gao, S. Yu, S.-C. Wu, L. Zhao, B. Chen, Z. Jiang, X. Liu, T.-H. Hou, Y. Nishi, J. Kang, and H.-S. Philip Wong, “Design and Optimization Methodology for 3D RRAM Arrays,” in Int. Electron Devices Meeting (IEDM) Tech. Dig., 2013, pp. 25.7.1-25.7.4.
    [27] C.-W. S. Yeh and S. S. Wong, “Compact One-Transistor-N-RRAM Array Architecture for Advanced CMOS Technology,” IEEE J. Solid-State Circuits, vol. 50, no. 5, pp. 1299-1309, May 2015.
    [28] D. Apalkov, B. Dieny, and J. M. Slaughter, “Magnetoresistive Random Access Memory,” Proceedings of the IEEE, vol. 104, no. 10, pp. 1796-1830, Oct. 2016.
    [29] K. C. Chun, H. Zhao, J. D. Harms, T.-H. Kim, J.-P. Wang, and C. H. Kim, “A Scaling Roadmap and Performance Evaluation of In-plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory,” IEEE J. Solid-State Circuits, vol. 48, no. 2, pp. 530-536, Feb. 2013.
    [30] H.-C. Yu, K.-C. Lin, K.-F. Lin, C.-Y. Huang, Y.-D. Chih, T.-C. Ong, J. Chang, S. Natarajan, and L. C. Tran, “Cycling Endurance Optimization Scheme for 1Mb STT-MRAM in 40nm Technology,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2013. pp. 224-225.
    [31] X. Fong, Y. Kim, R. Venkatesan, S. H. Choday, A. Raghunathan, and K. Roy, “Spin-Transfer Torque Memories: Devices, Circuits, and Systems,” Proceedings of the IEEE, vol. 104, no. 7, pp. 1449-1488 , Jul. 2016.
    [32] K. Kim and C. Yoo, “Variation-Tolerant Sensing Circuit for Spin-Transfer Torque MRAM,” IEEE Trans. Circuits and Systems-II: Express Briefs, vol. 62, no. 12, pp. 1134-1138, Dec. 2015.
    [33] Z. Tao and Z. Jia, “Two-transistor and Two-magnetic-tunneljunction Multi-level Cell Structured Spintransfer Torque Magnetic Random Access Memory with Optimizations on Power and Reliability,” Electronics Letters, vol. 52, no. 2, pp. 104-105, Jan. 2016.
    [34] K. Yamaoka, S. Iwanari, Y. Murakuki, H. Hirano, M. Sakagami, T. Nakakuma, T. Miki, and Y. Gohou, “A 0.9-V 1T1C SBT-based Embedded Nonvolatile FeRAM with a Reference Voltage Scheme and Multilayer Shielded Bit-line Structure,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 286-292, Jan. 2005.
    [35] H. Shiga, D. Takashima, S.-I. Shiratake, K. Hoya, T. Miyakawa, R. Ogiwara, R. Fukuda, R. Takizawa, K. Hatsuda, F. Matsuoka, Y. Nagadomi, D. Hashimoto, H. Nishimura, T. Hioka, S. Doumae, S. Shimizu, M. Kawano, T. Taguchi, Y. Watanabe, S. Fujii, T. Ozaki, H. Kanaya, Y. Kumura, Y. Shimojo, Y. Yamada, Y. Minami, S. Shuto, K. Yamakawa, S. Yamazaki, I. Kunishima, T. Hamamoto, A. Nitayama, and T. Furuyama, “A 1.6 GB/s DDR2 128 Mb Chain FeRAM with Scalable Octal Bitline and Sensing Schemes,” IEEE J. Solid-State Circuits, vol. 45, no. 1, pp. 142-152, Jan. 2010.
    [36] K. Hoya, D. Takashima, S. Shiratake, R. Ogiwara, T. Miyakawa, H. Shiga, S. M. Doumae, S. Ohtsuki, Y. Kumura, S. Shuto, T. Ozaki, K. Yamakawa, I. Kunishima, A. Nitayama, and S. Fujii, “A 64-Mb Chain FeRAM with Quad BL Architecture and 200 MB/s Burst Mode,” IEEE Trans. VLSI Systems, vol. 18, no. 12, pp. 1745-1752, Dec. 2010.
    [37] D. Takashima, Y. Nagadomi, and T. Ozaki, “A 100 MHz Ladder FeRAM Design with Capacitance-coupled-bitline (CCB) Cell,” IEEE J. Solid-State Circuits, vol. 46, no. 3, pp. 681-689, Mar. 2011.
    [38] H. -S. Philip Wong, S. Raoux, S.-B. Kim, J. Liang, J. P. Reifenberg, B. Rajendran, M. Asheghi, and K. E. Goodson, “Phase Change Memory,” Proc. of the IEEE, vol. 98, no. 12, pp. 2201-2227, Dec. 2010.
    [39] S. Natarajan, S. Chung, L. Paris, and A. Keshavarzi, “Searching for the Dream Embedded Memory,” IEEE Solid State Circuits Magazine, 2009, pp.34-44.
    [40] H. Chung, B. H. Jeong, B. J. Min, Y. Choi, B.-H. Cho, J. Shin, J. Kim, J. Sunwoo, J.-M. Park, Q. Wang, Y.-J. Lee, S. Cha, D. Kwon, S. Kim, S. Kim, Y. Rho, M.-H. Park, J. Kim, I. Song, S. Jun, J. Lee, K. Kim, K.-W. Lim, W.-R. Chung, C.-H. Choi, H.-G. Cho, I. Shin, W. Jun, S. Hwang, K.-W. Song, K. Lee, S.-W. Chang, W.-Y. Cho, J.-H. Yoo, and Y.-H. Jun, “A 58nm 1.8V 1Gb PRAM with 6.4 MB/s Program BW” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2011, pp. 500-501.
    [41] S. Yu and H. -S. Philip Wong, “Compact Modeling of Conducting-Bridge Random-Access Memory (CBRAM),” IEEE Trans. Electron Devices, vol. 58, no. 5, pp. 1352-1360, May 2011.
    [42] S. Dietrich, M. Angerbauer, M. Ivanov, D. Gogl, H. Hoenigschmid, M. Kund, C. Liaw, M. Markert, R. Symanczyk, L. Altimime, S. Bournat, and G. Mueller, “A Nonvolatile 2-Mbit CBRAM Memory Core Featuring Advanced Read and Program Control,” IEEE J. Solid-State Circuits, vol. 42, no. 4, pp. 839-845, Apr. 2007.
    [43] M. Kund, G. Beitel, C. -U. Pinnow, T. Rohr, J. Schumann, R. Symanczyk, K. Ufert, and G. Muller, “Conductive Bridging RAM (CBRAM): An Emerging Non-volatile Memory Technology Scalable to Sub 20 nm,” in Int. Electron Devices Meeting (IEDM) Tech. Dig., 2005, pp. 754-757.
    [44] S.-H. Song, K. C. Chun, and C. H. Kim, “A Logic-Compatible Embedded Flash Memory for Zero-Standby Power System-on-Chips Featuring a Multi-Story High Voltage Switch and a Selective Refresh Scheme,” IEEE J. Solid-State Circuits, vol. 48, no. 5, pp. 1302-1314, Feb. 2013.
    [45] K. C. Chun, H. Zhao, J. D. Harms, T.-H. Kim, J.-P. Wang, and C. H. Kim, “A Scaling Roadmap and Performance Evaluation of In-plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory,” IEEE J. Solid-State Circuits, vol. 48, no. 2, pp. 530-536, Feb. 2013.
    [46] H.-C. Yu, K.-C. Lin, K.-F. Lin, C.-Y. Huang, Y.-D. Chih, T.-C. Ong, J. Chang, S. Natarajan, and L. C. Tran, “Cycling Endurance Optimization Scheme for 1Mb STT-MRAM in 40nm Technology,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2013. pp. 224-225.
    [47] K. Hoya, D. Takashima, S. Shiratake, R. Ogiwara, T. Miyakawa, H. Shiga, S. M. Doumae, S. Ohtsuki, Y. Kumura, S. Shuto, T. Ozaki, K. Yamakawa, I. Kunishima, A. Nitayama, and S. Fujii, “A 64-Mb Chain FeRAM with Quad BL Architecture and 200 MB/s Burst Mode,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 18, no. 12, pp. 1745-1752, Dec. 2010.
    [48] D. Takashima, Y. Nagadomi, K. Hatsuda, Y. Watanabe, and S. Fujii, “A 128 Mb Chain FeRAM and System Design for HDD Application and Enhanced HDD Performance,” IEEE J. Solid-State Circuits, vol. 46, no. 2, pp. 530-536, Feb. 2011.
    [49] A. Gyanathan and Y.-C. Yeo, “Novel Multi-level PCRAM Cell with Ta2O5 Barrier Layer in Between a Graded Ge2Sb2Te5 Stack,” in IEEE VLSI Technology, Systems and Applications (VLSI-TSA) Tech. Dig., 2011. pp. 1-2.
    [50] K. Attenborough, G.A.M. Hurkx, R. Delhougne, J. Perez, M.T. Wang, T.C. Ong, Luan Tran, D. Roy, D.J. Gravesteijn, and M.J. van Duuren, “Phase Change Memory Line Concept for Embedded Memory Applications,” in Int. Electron Devices Meeting (IEDM) Tech. Dig., 2010, pp. 648-651.
    [51] A. Belmonte, R. Degraeve, A. Fantini, W. Kim, M. Houssa, M. Jurczak, and L. Goux, “Origin of the Deep Reset and Low Variability of Pulse-programmed WAl2O3TiWCu CBRAM Device,” in IEEE Int. Memory Workshop (IMW) Tech. Dig., 2014. pp. 1-4.
    [52] A. Belmonte, W. Kim, BT Chan, N. Heylen, A. Fantini, M. Houssa, M. Jurczak, and L. Goux, “90nm WAl2O3TiWCu 1T1R CBRAM Cell Showing Low-power, Fast and Disturb-free Operation,” in IEEE Int. Memory Workshop (IMW) Tech. Dig., 2013. pp. 26-29.
    [53] D. Takashima, M. Noguchi, N. Shibata, K. Kanda, H. Sukegawa, and S. Fujii, “An Embedded DRAM Technology for High-Performance NAND Flash Memories,” IEEE J. Solid-State Circuits, vol. 47, no. 2, pp. 536-546, Feb. 2012.
    [54] Generalplus Corporation, “GP32xxxxA Series Datasheet” [Online]. Available:http://www.generalplus.com/product.php?prot_no=5&func=sh&lang_no=3
    [55] Y. Deng, H.-Y. Chen, B. Gao, S. Yu, S.-C. Wu, L. Zhao, B. Chen, Z. Jiang, X. Liu, T.-H. Hou, Y. Nishi, J. Kang, and H.-S. P. Wong, “Design and Optimization Methodology for 3D RRAM Arrays,” in Int. Electron Devices Meeting (IEDM) Tech. Dig., 2013, pp. 629-632.
    [56] S. R. Lee, Y.-B. Kim, M. Chang., K. M. Kim, C. B. Lee, J. H. Hur, G.-S. Park, D. Lee, M.-J. Lee, C. J. Kim, U-I. Chung, I.-K. Yoo, and K. Kim, “Multi-level Switching of Triple-layered TaOx RRAM with Excellent Reliability for Storage Class Memory,” in IEEE Symp. VLSI Technology Tech. Dig., 2012. pp. 71-72.
    [57] P.-F. Chiu, M.-F. Chang, C.-W. Wu, C.-H. Chuang, S.-S. Sheu, Y.-S. Chen, and M.-J. Tsai, “Low Store Energy, Low VDDmin, 8T2R Non-volatile Latch and SRAM With Vertical-Stacked Resistive Memory (Memristor) Devices for Low Power Mobile Applications,” IEEE J. Solid-State Circuits, vol. 47, no. 6, pp. 1483-1496, Jun. 2012.
    [58] R. Huang, Y. Tang, Y. Kuang, W. Ding, L. Zhang, and Y. Wang, “Resistive Switching in Organic Memory Device Based on Parylene-C with Highly Compatible Process for High-Density and Low-Cost Memory Applications,” IEEE Trans. Electron Devices, vol. 59, no. 12, pp. 3578-3582, Dec. 2012.
    [59] C.-W. Hsu, I-T. Wang, C.-L. Lo, M.-C. Chiang, W.-Y. Jang, C.-H. Lin, and T.-H. Hou, “Self-Rectifying Bipolar TaOx/TiO2 RRAM with Superior Endurance over 1012 Cycles for 3D High-Density Storage-Class Memory,” in IEEE Symp. VLSI Technology Tech. Dig., 2013. pp. 166-167.
    [60] T.-K. Chien, L.-Y. Chiou, S.-S. Sheu, J.-C. Lin, C.-C. Lee, T.-K. Ku, M.-J. Tsai, and C.-I Wu, “Low-Power MCU with Embedded ReRAM Buffers as Sensor Hub for IoT Applications,” IEEE J. Emerging and Selected Topics in Circuits and Systems, vol. 6, no. 2, pp. 247-257, Jun. 2016.
    [61] S. I. Park, D. Shin, and E. G. Han, “Adaptive Program Verify Scheme for Improving NAND Flash Memory Performance and Lifespan,” in Proc. IEEE Asia Solid-State Circuits Conf. (A-SSCC), 2012, pp. 57-60.
    [62] M.-F. Chang, Y.-F. Lin, Y.-C. Liu, J.-J. Wu, S.-J. Shen, W.-C. Tsai, and Y.-D. Chih, “An Asymmetric-Voltage-Biased Current-Mode Sensing Scheme for Fast-Read Embedded Flash Macros,” IEEE J. Solid-State Circuits, vol. 50, no. 9, pp. 2188-2198, Sep. 2015.
    [63] C. Kim, K. Kwon, C. Park, S. Jang, and J. Choi, “A Covalent-Bonded Cross-Coupled Current-Mode Sense Amplifier for STT-MRAM with 1T1MTJ Common Source-Line Structure Array,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2015. pp. 134-135.
    [64] K. Chandrasekar, B. Akesson, and K. Goossens, “Run-Time Power-Down Strategies for Real-Time SDRAM Memory Controllers,” in IEEE Design Automation Conference (DAC), 2012, pp. 988-993.
    [65] E. H. Nam, B. S. J. Kim, H. Eom, and S. L. Min, “Ozone (O3): An Out-of-Order Flash Memory Controller Architecture,” IEEE Trans. Computers, vol. 60, no. 5, pp. 653-666, May 2011.
    [66] P. Guoteng, L. Li, O. Guodong, D. Qiang, and X. Lunguo, “Design and Implementation of a DDR3-based Memory Controller,” in International Conference on Intelligent System Design and Engineering Applications (ISDEA), 2012, pp. 540-543.
    [67] Intel Datasheet, “Intel® 82865PE/82865P Memory Controller Hub (MCH)”, Fab. 2004.
    [68] P. Qiang, J. Cao, X. Zhang, and D.-S. Yu, “A Novel NAND Flash Memory Controller Compatible with Asynchronous and Source Synchronous Data Types,” in International Conference on Solid-State and Integrated Circuit Technology (ICSICT), 2012, pp. 1-3.
    [69] S. Tanakamaru, Y. Yanagihara, and K. Takeuchi, “Over-10×-Extended-Lifetime 76%-Reduced-Error Solid-State Drives (SSDs) with Error-Prediction LDPC Architecture and Error-Recovery Scheme,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2012, pp. 424-425.
    [70] K.-C. Ho, P.-C. Fang, H.-P. Li, C.-Y. M. Wang, and H.-C. Chang, “A 45nm 6b/cell Charge-Trapping Flash Memory Using LDPC-Based ECC and Drift-Immune Soft-Sensing Engine,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2013, pp. 222-223.
    [71] G. Xin, D. Zibin, L. Wei, and F. Lulu, “Design and Implementation of a NAND Flash Controller in SoC,” in IEEE International Conference of Electron Devices and Solid-State Circuits (EDSSC), 2011, pp. 1- 2.
    [72] K. Roh, “Data Managnment Method of Improving Data Reliability and Data Storage Device,” U.S. Patent 20130055012A1, Feb. 2013.
    [73] K. Nakanishi and K. Tsutsui, “Nonvoltailte Memory, Memory Controller, Nonvolatile Mmeory Accessing Method, and Program,” U.S. Patent 20120311408A1, Dec. 2012.
    [74] J. Wang, A. Hoefler, and B. H. Calhoun, “An Enhanced Canary-Based System With BIST for SRAM Standby Power Reduction,” IEEE Trans. VLSI Systems, vol. 19, no. 5, pp. 909-914, May 2011.
    [75] T.-W. Tseng, J.-F. Li, and C.-C. Hsu, “ReBISR: A Reconfigurable Built-In Self-Repair Scheme for Random Access Memories in SOCs,” IEEE Trans. VLSI Systems, vol. 18, no. 6, pp. 921-932, May 2010.
    [76] T. Kamei, T. Yamada, T. Koike, M. Ito, T. Irita, K. Nitta, T. Hattori, and S. Yoshioka, “A 65nm Dual-mode Baseband and Multimedia Application Processor SoC with Advanced Power and Memory Management,” in Proc. IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), 2009, pp. 535-539.
    [77] D. Liu, T. Wang, Y. Wang, Z. Qin, and Z. Shao, “A Block-Level Flash Memory Management Scheme for Reducing Write Activities in PCM-based Embedded Systems,” Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012, pp. 1447-1450.
    [78] C.-H. Chen, P.-C. Hsiu, T.-W. Kuo, C.-L. Yang, and C.-Y. M. Wang, “Age-Based PCM Wear Leveling with Nearly Zero Search Cost,” in IEEE Design Automation Conference (DAC), 2012, pp. 453-458.
    [79] Y. Wang, D. Liu, Z. Qin, and Z. Shao, “An Endurance-Enhanced Flash Translation Layer via Reuse for NAND Flash Memory Storage Systems,” in Proc. IEEE Design, Automation and Test in Europe Conference and Exhibition (DATE), 2011, pp. 1-6.
    [80] J. Yun, S. Lee, and S. Yoo, “Bloom Filter-based Dynamic Wear Leveling for Phase-Change RAM,” in Proc. IEEE Design, Automation and Test in Europe Conference and Exhibition (DATE), 2012, pp. 1513-1518.
    [81] H. Yueli and Y. Ben, “Building an AMBA AHB compliant Memory Controller,” in International Conference on Measuring Technology and Mechatronics Automation (ICMTMA), 2011, pp. 658-661.
    [82] S. Tanakamaru, M. Doi, and K. Takeuchi, “Unified Solid-State-Storage Architecture with NAND Flash Memory and ReRAM that Tolerates 32× Higher BER for Big-Data Applications,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2013, pp. 226-227.
    [83] Song Liu, Yu Zhang, Seda Ogrenci Memik, and Gokhan Memik, “An Approach for Adaptive DRAM Temperature and Power Management,” IEEE Trans. VLSI Systems, vol. 18, no. 4, pp. 684-688, Apr. 2010.
    [84] H. Burton, “Inversionless Decoding of Binary BCH Codes,” IEEE Trans. on Information Theory, vol. 17, pp. 464-466, July 1971.
    [85] S.-S. Sheu, M.-F. Chang, K.-F. Lin, C.-W. Wu, Y.-S. Chen, P.-F. Chiu, C.-C. Kuo, Y.-S. Yang, P.-C. Chiang, W.-P. Lin, C.-H. Lin, H.-Y. Lee, P.-Y. Gu, S.-M. Wang, F.T. Chen, K.-L. Su, C.-H. Lien, K.-H. Cheng, H.-T. Wu, T.-K. Ku, M.-J. Kao, and M.-J. Tsai, “A 4Mb embedded SLC resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2011, pp. 200-201.
    [86] S. Tanakamaru, C. Hung, A. Esumi, M. Ito, K. Li, and K. Takeuchi, “95%-Lower-BER 43%-Lower-Power Intelligent Solid-State Drive (SSD) with Asymmetric Coding and Stripe Pattern Elimination Algorithm,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2011, pp. 204-205.
    [87] X. Dong, C. Xu, Y. Xie, and N. P. Jouppi, “NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory,” IEEE Trans. CAD of Integrated Circuits and Systems, vol. 31, no. 7, pp. 994-1007, Jul. 2012.
    [88] M. Poremba and Y. Xie, “NVMain: An Architectural-Level Main Memory Simulator for Emerging Non-volatile Memories,” in proc. IEEE Computer Society Annual Symp. (ISVLSI), 2012, pp. 392-397.
    [89] HP Labs., “CACTI tool”, [Online]. Available: http://www.hpl.hp.com/research/cacti/
    [90] ITRS Roadmap, “Table PIDS7b,” [Online]. Available: https://www.dropbox.com/sh/yoy96j10u7zkvk0/AABXTtdnrz2agfkXouSTgOu0a/2013ITRS%20Tables_R1/PIDS_2013Tables.xlsx?dl=0
    [91] K. C. Chun, H. Zhao, J. D. Harms, T.-H. Kim, J.-P. Wang, and C. H. Kim, “A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory,” IEEE J. Solid-State Circuits, vol. 48, no. 2, pp. 598-610, Feb. 2013.
    [92] H. Noguchi, K. Kushida, K. Ikegami, K. Abe, E. Kitagawa, S. Kashiwada, C. Kamata, A. Kawasumi, H. Hara, and S. Fujita, “A 250-MHz 256b-I/O 1-Mb STT-MRAM with Advanced Perpendicular MTJ based Dual Cell for Nonvolatile Magnetic Caches to Reduce Active Power of Processors,” in IEEE Symp. VLSI Technology Tech. Dig., 2013, pp. C108–C109.
    [93] R. Fackenthal, M. Kitagawa, W. Otsuka, K. Prall, D. Mills, K. Tsutsui, J. Javanifard, K. Tedrow, T. Tsushima, Y. Shibahara, and G. Hush, “A 16Gb ReRAM with 200MB/s Write and 1GB/s Read in 27nm Technology,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2014. pp. 338-339.
    [94] S.-Y. Kim, J.-M. Baek, D.-J. Seo, J.-K. Park, J.-H. Chun, and K.-W. Kwon, “Power-Efficient Fast Write and Hidden Refresh of ReRAM Using an ADC-Based Sense Amplifier,” IEEE Trans. Circuits and Systems─II:Express Briefs, vol. 60, no. 11, pp. 776-780, Nov. 2013.
    [95] S. Lee, D. Lee, J. Woo, E. Cha, J. Song, J. Park, and H. Hwang, “Selector-less ReRAM with an Excellent Non-linearity and Reliability by the Band-gap Engineered Multi-layer Titanium Oxide and Triangular Shaped AC pulse,” in Int. Electron Devices Meet. Tech. Dig., 2013, pp. 272–275.
    [96] X. Xue, W. Jian, J. Yang, F. Xiao, G. Chen, S. Xu, Y. Xie, Y. Lin, R. Huang, Q. Zou, and J. Wu, “A 0.13 μm 8 Mb Logic-based CuxSiyO ReRAM with Self-adaptive Operation for Yield Enhancement and Power Reduction,” IEEE J. Solid-State Circuits, vol. 48, no. 5, pp. 1315–1322, May 2014.
    [97] S. Yang, S. Ahmed, B. Arcot, R. Arghavani, P. Bai, S. Chambers, P. Charvat, R. Cotner, R. Gasser, T. Ghani, M. Hussein, C. Jan, C. Kardas, J. Maiz', P. McGregor, B. McIntyre, P. Nguyen, P. Packan, I. Post, S. Sivakumar, J. Steigerwald, M. Taylor, B. Tufts, S. Tyagi, and M. Bohr, “A High Performance 180 nm Generation Logic Technology,” in Int. Electron Devices Meeting (IEDM) Tech. Dig., 1998, pp. 197-200.
    [98] M. J. Madou, “Lithography,” in Fundamentals of Microfabrication: Science of Miniaturization, 2nd ed., CRC Press, 2002, pp. 44-46.
    [99] H. Y. Lee, P. S. Chen, T. Y. Wu, Y. S. Chen, C. C. Wang, P. J. Tzeng, C. H. Lin, F. Chen, C. H. Lien, and M.-J. Tsai, “Low Power and High Speed Bipolar Switching with A Thin Reactive Ti Buffer Layer in Robust HfO2 Based RRAM,” in Int. Electron Devices Meeting (IEDM) Tech. Dig., 2008, pp. 1-4.
    [100] H. Y. Lee, Y. S. Chen, P. S. Chen, T. Y. Wu, F. Chen, C. C. Wang, P. J. Tzeng, M.-J. Tsai, and C. Lien, “Low-Power and Nanosecond Switching in Robust Hafnium Oxide Resistive Memory With a Thin Ti Cap,” IEEE Electron Device Letters, vol. 31, no. 1, pp. 44-46, Jan. 2010.
    [101] Y. Liu, Z. Wang, A. Lee, F. Su, C.-P. Lo, Z. Yuan, C.-C. Lin, Q. Wei, Y. Wang, Y.-C. King, C.-J. Lin, P. Khalili, K.-L. Wang, M.-F. Chang, and H. Yang, “A 65nm ReRAM-enabled Nonvolatile Processor with 6× Reduction in Restore Time and 4× Higher Clock Frequency Using Adaptive Data Retention and Self-write-termination Nonvolatile Logic,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2016. pp. 84-86.
    [102] T. Kawahara, “Scalable Spin-Transfer Torque RAM Technology for Normally-Off Computing,” in IEEE Design & Test of Computers, vol. 28, pp. 52–63, Jan. 2011.
    [103] M. Hayashikoshi, Y. Sato, H. Ueki, H. Kawai, and T. Shimizu, “Normally-Off MCU Architecture for Low-power Sensor Node,” in Proc. IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), 2014, pp. 12-16.
    [104] C.-M. Jung, C.-M. Jung, K.-H. Jo, E.-S. Lee, H. M. Vo, and K.-S. Min, “Zero-Sleep-Leakage Flip-Flop Circuit With Conditional-Storing Memristor Retention Latch,” IEEE Trans. Nanotechnology, vol. 11, no. 2, pp. 360–366, Mar. 2012.
    [105] I. Kazi, P. Meinerzhagen, P.-E. Gaillardon, D. Sacchetto, Y. Leblebici, A. Burg, and G. D. Micheli, “Energy/Reliability Trade-Offs in Low-Voltage ReRAM-Based Non-Volatile Flip-Flop Design,” IEEE Trans. Circuits and Systems I: Regular Papers, vol. 61, no. 11, pp. 3155–3164, Nov. 2014.
    [106] W. Wang, A. Gibby, Z. Wang, T. W. Chen, S. Fujita, P. Griffin, Y. Nishi, S. Wong, “Nonvolatile SRAM Cell,” in Proc. IEEE International Electron Devices Meeting (IEDM), 2006, pp. 1-4.
    [107] S.-S. Sheu, C.-C. Kuo, M.-F. Chang, P.-L. Tseng, C.-S. Lin, M.-C. Wang, C.-H. Lin, W.-P. Lin, T.-K. Chien, S.-H. Lee, S.-C. Liu, H.-Y. Lee, P.-S. Chen, Y.-S. Chen, C.-C. Hsu, F.T. Chen, K.-L. Su, T.-K. Ku, M.-J. Tsai, and M.-J. Kao, “A ReRAM integrated 7T2R non-volatile SRAM for normally-off computing application” in Proc. IEEE Asia Solid-State Circuits Conference (ASSCC), 2013, pp. 245–248.
    [108] R. Karam, R. Puri, S. Ghosh, and S. Bhunia, “Emerging Trends in Design and Applications of Memory-Based Computing and Content-Addressable Memories,” Proceedings of the IEEE, vol. 103, no. 8, pp. 1311-1330, Dec. 2015.
    [109] S. Jeloka, N. Akesh, D. Sylvester, and D. Blaauw, “A Configurable TCAM / BCAM / SRAM using 28nm push-rule 6T bit cell,” in IEEE Symp. VLSI Circuits Tech. Dig., 2015. pp. C272- C273.
    [110] K. Eshraghian, K.-R. Cho, O. Kavehei, S.-K. Kang, D. A., and S.-M. Steve Kang, “Memristor MOS Content Addressable Memory (MCAM):Hybrid Architecture for Future High Performance Search Engines,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 19, no. 8, pp. 1407-1417, Aug. 2011.
    [111] Z. Wang, Y. Liu, A. Lee, F. Su, C.-P. Lo, Z. Yuan, J. Li, C.-C. Lin, W.-H. Chen, H.-Y. Chiu, W.-E. Lin, Y.-C. King, C.-J. Lin, P. K. Amiri, K.-L. Wang, M.-F. Chang, and H. Yang, “A 65-nm ReRAM-Enabled Nonvolatile Processor With Time-Space Domain Adaption and Self-Write-Termination Achieving > 4× Faster Clock Frequency and > 6× Higher Restore Speed,” IEEE J. Solid-State Circuits, vol. 52, no. 10, pp. 2769-2785, Oct. 2017.
    [112] W.-K. Yu, S. Rajwade, S.-E. Wang, B. Lian, G.-E. Suh, and E. Kan, “A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors,” in Proc. Int. Conference on Dependable Systems and Networks Workshops (DSN-W), pp. 75-80, 2011.
    [113] N. Sakimura, Y. Tsuji, R. Nebashi, H. Honjo, A. Morioka, K. Ishihara, K. Kinoshita, S. Fukami, S. Miura, N. Kasai, T. Endoh, H. Ohno, T. Hanyu, and T. Sugibayashi, “A 90nm 20MHz Fully Nonvolatile Microcontroller for Standby-Power-Critical Applications,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2014, pp. 184–185.
    [114] Y. Wang, Y. Liu, S. Li, D. Zhang, B. Zhao, M.-F. Chiang, Y. Yan, B. Sai, and H. Yang, “A 3μs Wake-up Time Nonvolatile Processor Based on Ferroelectric Flip-flops,” in Proc. European Solid-State Circuits Conference (ESSCIRC), 2012, pp. 149–152.
    [115] Y. Wang, Y. Liu, S. Li, X. Sheng, D. Zhang, M.-F. Chiang, B. Sai, X.-S. Hu, and H. Yang, “PaCC: A Parallel Compare and Compress Codec for Area Reduction in Nonvolatile Processors,” in IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 22, no. 7, pp. 1491-1505, July 2014.
    [116] Y. Wang, Yo. Liu, Yu. Liu, D. Zhang, S. Li, B. Sai, M.-F. Chiang, and H. Yang, “A Compression-based Area-efficient Recovery Architecture for Nonvolatile Processors,” in Proc. Design, Automation & Test in Europe Conference Exhibition (DATE), 2012, pp. 1519–1524.
    [117] X. Sheng, Y. Wang, Y. Liu, H. Yang, “SPaC: A Segment-based Parallel Compression for Backup Acceleration in Nonvolatile Processors,” in Proc. Design, Automation & Test in Europe Conference Exhibition (DATE), 2013, pp. 865-868.
    [118] S. Khanna, S. C. Bartling, M. Clinton, S. Summerfelt, J. A. Rodriguez, and H. P. McAdams, “An FRAM-Based Nonvolatile Logic MCU SoC Exhibiting 100% Digital State Retention at VDD=0V Achieving Zero Leakage With <400ns Wakeup Time for ULP Applications,” IEEE J. Solid-State Circuits, vol. 49, no. 1, pp. 95-106, Jan. 2014.
    [119] S. C. Bartling, S. Khanna, M. P. Clinton, S. R. Summerfelt, J. A. Rodriguez, and H. P. McAdams, “An 8MHz 75μA/MHz Zero-Leakage Non-Volatile Logic-Based Cortex-M0 MCU SoC Exhibiting 100% Digital State Retention at VDD=0V with <400ns Wakeup and Sleep Transitions,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2013, pp. 432–433.
    [120] M. Zwerg, A. Baumann, R. Kuhn, M. Arnold, R. Nerlich, M. Herzog, R. Ledwa, C. Sichert, V. Rzehak, P. Thanigai, and B. O. Eversmann, “An 82μA/MHz Microcontroller with Embedded FeRAM for Energy-Harvesting Applications,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2011, pp. 334–336.
    [121] M. Zhao, Q. Li, M. Xie, Y. Liu, J. Hu, and C.J. Xue, “Software Assisted Non-volatile Register Reduction for Energy Harvesting Based Cyber-physical System,” in Proc. Design, Automation & Test in Europe Conference Exhibition (DATE), 2015, pp. 567-572.
    [122] M. Xie, M. Zhao, C. Pan, J. Hu, Y. Liu, and C.J. Xue, “Fixing the Broken Time Machine: Consistency-aware Checkpointing for Energy Harvesting Powered Non-volatile Processor,” in IEEE Design Automation Conference (DAC), 2015, pp. 1-6.
    [123] F. Su, W.-H. Chen, L. Xia, C.-P. Lo, T. Tang, Z. Wang, K.-H. Hsu, M. Cheng, J.-Y. Li, Y. Xie, Y. Wang, M.-F. Chang, H. Yang, and Y. Liu, “A 462GOPs/J RRAM-Based Nonvolatile Intelligent Processor for Energy Harvesting IoE System Featuring Nonvolatile Logics and Processing-in-Memory,” in IEEE proc. VLSI Circuits Symp., 2017, pp. 260-261.
    [124] F. Su, Y. Liu, Y. Wang, and H. Yang, “A Ferroelectric Nonvolatile Processor with 46 μs System-Level Wake-up Time and 14 μs Sleep Time for Energy Harvesting Applications,” IEEE Trans. Circuits and Systems–I: Regular Papers, vol. 64, no. 3, pp. 596-607, Mar. 2017.
    [125] M.-F. Chang, J.-J. Wu, T.-F. Chien, Y.-C. Liu, T.-C. Yang, W.-C. Shen, Y.-C. King, C.-J. Lin, K.-F. Lin, Y.-D. Chih, S. Natarajan, and J. Chang, “Embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V Read Using Swing-Sample-and-Couple Sense Amplifier and Self-Boost-Write-Termination Scheme,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Tech. Dig., 2014, pp. 332–333.

    無法下載圖示 校內:2023-02-23公開
    校外:不公開
    電子論文尚未授權公開,紙本請查館藏目錄
    QR CODE