| 研究生: |
鄭昌杰 Cheng, Chang-Chieh |
|---|---|
| 論文名稱: |
具有偵測讀取漏電流且有製程、電壓、溫度變異容忍性與高功率效益之次臨界電壓隨機存取記憶體 A PVT-Variation Tolerant and High Power-Efficient Sub-threshold SRAMs with Read Leakage Sensing |
| 指導教授: |
邱瀝毅
Chiou, Lih-yih |
| 學位類別: |
碩士 Master |
| 系所名稱: |
電機資訊學院 - 電機工程學系 Department of Electrical Engineering |
| 論文出版年: | 2014 |
| 畢業學年度: | 102 |
| 語文別: | 英文 |
| 論文頁數: | 68 |
| 中文關鍵詞: | 隨機存取記憶體 、次臨界電壓 、低功率 、變異 、維持電路 |
| 外文關鍵詞: | SRAM, sub-threshold voltage, low power, variation, keeper |
| 相關次數: | 點閱:62 下載:0 |
| 分享至: |
| 查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報 |
在無線感測網路的應用中,功率消耗為重要的考量之一,為了延長這些應用的使用時間,會需要低功率的系統晶片,而在這些系統晶片的功率消耗中,又以隨機存取記憶體佔極大部分,為了能夠降低功率消耗,至今已經提出了許多低功率技巧,其中調降電壓至近臨界電壓或次臨界電壓為非常有效的方法之一,然而在近臨界電壓及次臨界電壓的操作中,會遭遇更嚴重的變異。以去耦讀取埠的隨機存取記憶體而言,在進行讀取時,會因為變異使得漏電流與維持電路電流的比例有大幅度變動導致產生讀取錯誤。在本篇論文中,為解決這些變異造成的讀取錯誤,提出了本地欄位偵測維持電路來偵測變異,並自適應性的產生維持電路電流,使次臨界電壓操作的讀取能夠正確,同時藉由降低讀取時的抵抗電流來達到降低功率消耗的優勢。本論文提出的電路以台積電90奈米製程下線並做佈局後模擬驗證,能將操作電壓降低至近臨界與次臨界電壓,並與現今的技術相比,在漏電流最大的情況能降低24%的功率。
Power consumption is one of important issues in power constraint applications such as wireless sensor networks (WSN). For these power constraint applications, we need a low power system-on-a-chip (SoC) to extend life time. In breakdown of power consumption, SRAMs are one of major sources of power consumption in the SoC. Scaling supply voltage into near-threshold or sub-threshold region is one of effective techniques to reduce power consumption. However, severe variations may occur in the near-threshold and the sub-threshold region. For a read-decoupled SRAM, read failures may happen because the current ratio between a keeper and a pull-down network has large variations. In this thesis, we propose a local column sensing keeper scheme to detect the variations and adaptively generate a proper keeper current to deal with the read functionality issues. Meanwhile, power consumption is reduced by minimizing the keeper contention current. A test chip is fabricated using TSMC 90nm technology to demonstrate the proposed SRAM. According to post-layout simulation results, the proposed scheme supports near-threshold and sub-threshold operation and achieves 24% power reduction when compared with state-of-the-art designs in the worst leakage case.
[1] M. Konijnenburg, Y. Cho, M. Ashouei, T. Gemmeke, C. Kim, J. Hulzink, J. Stuyt, M. Jung, J. Huisken, S. Ryu, J. Kim, and H. de Groot, "Reliable and energy-efficient 1MHz 0.4V dynamically reconfigurable SoC for ExG applications in 40nm LP CMOS," in Proc. International Solid-State Circuits Conference (ISSCC) , pp. 430-431, 2013.
[2] M. Khayatzadeh, X. Zhang, J. Tan, W.-S. Liew, and Y. Lian, "A 0.7-V 17.4uW 3-lead wireless ECG SoC," in Proc. Biomedical Circuits and Systems Conference (BioCAS) ,pp. 344-347, 2012.
[3] M. Alioto, "Ultra-Low Power VLSI Circuit Design Demystified and Explained: A Tutorial," IEEE Trans. Circuits and Systems I: Regular Papers, vol. 59, pp. 3-29, 2012.
[4] M.-H. Tu, J.H. Lin, M.-C. Tsai, C.-Y. Lu, Y.-J. Lin, M.-H. Wang, H.-S. Huang, K.-D. Lee, W.-C. Shih, S.-J. Jou, and C.-T. Chuang, "A Single-Ended Disturb-Free 9T Subthreshold SRAM With Cross-Point Data-Aware Write Word-Line Structure, Negative Bit-Line, and Adaptive Read Operation Timing Tracing," IEEE J. Solid-State Circuits, vol. 47, pp. 1469-1482, 2012.
[5] N. Reynders and W. Dehaene, "Variation-Resilient Building Blocks for Ultra-Low-Energy Sub-Threshold Design," IEEE Trans. Circuits and Systems II: Express Briefs, vol. 59, pp. 898-902, 2012.
[6] N. Verma, J. Kwong, and A. P. Chandrakasan, "Nanometer MOSFET Variation in Minimum Energy Subthreshold Circuits," IEEE Trans. Electron Devices, vol. 55, pp. 163-174, 2008.
[7] L. Chang, D. M. Fried, J. Hergenrother, J. W. Sleight, R. H. Dennard, R. K. Montoye, L. Sekaric, S. J. McNab, A. W. Topol, C. D. Adams, K. W. Guarini, and W. Haensch, "Stable SRAM cell design for the 32 nm node and beyond," in Proc. Symposium on VLSI Technology, pp. 128-129, 2005.
[8] A. J. Bhavnagarwala, X. Tang, and J. D. Meindl, "The impact of intrinsic device fluctuations on CMOS SRAM cell stability," IEEE J. Solid-State Circuits, vol. 36, pp. 658-665, 2001.
[9] K. Takeda, Y. Hagihara, Y. Aimoto, M. Nomura, Y. Nakazawa, T. Ishii, and H. Kobatake, "A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications," IEEE J. Solid-State Circuits, vol. 41, pp. 113-121, 2006.
[10] Y. Morita, H. Fujiwara, H. Noguchi, Y. Iguchi, K. Nii, H. Kawaguchi, and M. Yoshimoto, "An Area-Conscious Low-Voltage-Oriented 8T-SRAM Design under DVS Environment," in Proc. IEEE Symposium on VLSI Circuits, pp. 256-257, 2007.
[11] L. Chang, R. K. Montoye, Y. Nakamura, K. A. Batson, R. J. Eickemeyer, R. H. Dennard, W. Haensch, and D. Jamsek, "An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches," IEEE J. Solid-State Circuits, vol. 43, pp. 956-963, 2008.
[12] M. Meterelliyoz, J. P. Kulkarni, and K. Roy, "Analysis of SRAM and eDRAM Cache Memories Under Spatial Temperature Variations," IEEE Trans. omputer-Aided Design of Integrated Circuits and Systems, vol. 29, pp. 2-13, 2010.
[13] D. Bol, C. Hocquet, D. Flandre, and J. Legat, "The detrimental impact of negative Celsius temperature on ultra-low-voltage CMOS logic," in Proc. ESSCIRC, pp. 522-525, 2010.
[14] N. Verma and A. P. Chandrakasan, "A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy," IEEE J. Solid-State Circuits, vol. 43, pp. 141-149, 2008.
[15] M. E. Sinangil, N. Verma, and A. P. Chandrakasan, "A Reconfigurable 8T Ultra-Dynamic Voltage Scalable (U-DVS) SRAM in 65 nm CMOS," IEEE J. Solid-State Circuits, vol. 44, pp. 3163-3173, 2009.
[16] T. Suzuki, S. Moriwaki, A. Kawasumi, S. Miyano, and H. Shinohara, "0.5-V, 150-MHz, bulk-CMOS SRAM with suspended bit-line read scheme," in Proc. ESSCIRC, pp. 354-357, 2010.
[17] Y.-W. Chiu, J.-Y. Lin, M.-H. Tu, S.-J. Jou, and C.-T. Chung, "8T Single-ended sub-threshold SRAM with cross-point data-aware write operation," in Proc. International Symposium on Low Power Electronics and Design (ISLPED), pp. 169-174, 2011.
[18] M.-F. Chang, M.-P. Chen, L.-F. Chen, S.-M. Yang, Y.-J. Kuo, J.-J. Wu, H.-Y. Su, Y.-H. Chu, W.-C. Wu, T.-Y. Yang, and H. Yamauchi, "A Sub-0.3 V Area-Efficient L-Shaped 7T SRAM With Read Bitline Swing Expansion Schemes Based on Boosted Read-Bitline, Asymmetric-VTH Read-Port, and Offset Cell VDD Biasing Techniques," IEEE J. Solid-State Circuits, vol. 48, pp. 2558-2569, 2013.
[19] Y. Yuan Lin, W. Bo, Y. Xiangyao, and T. T. Kim, "A 0.4V 7T SRAM with write through virtual ground and ultra-fine grain power gating switches," in Proc. IEEE International Symposium on Circuits and Systems (ISCAS), pp. 3030-3033, 2013.
[20] T.-H. Kim, J. Liu, J. Keane, and C. H. Kim, "A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing," IEEE J. Solid-State Circuits, vol. 43, pp. 518-529, 2008.
[21] C.-H. Lo and S.-Y. Huang, "P-P-N Based 10T SRAM Cell for Low-Leakage and Resilient Subthreshold Operation," IEEE J. Solid-State Circuits, vol. 46, pp. 695-704, 2011.
[22] K. Daeyeon, G. Chen, M. Fojtik, S. Mingoo, D. Blaauw, and D. Sylvester, "A 1.85fW/bit ultra low leakage 10T SRAM with speed compensation scheme," in Proc. IEEE International Symposium on Circuits and Systems (ISCAS), pp. 69-72, 2011.
[23] W.-H. Du, M.-H. Chang, H.-Y. Yang, and H. Wei, "An energy-efficient 10T SRAM-based FIFO memory operating in near-/sub-threshold regions," in Proc. IEEE International SOC Conference (SOCC), pp. 19-23, 2011.
[24] P.-Y. Chang, T.-J. Lin, J.-S. Wang, and Y.-H. Yu, "A 4R/2W Register File Design for UDVS Microprocessors in 65-nm CMOS," IEEE Trans. Circuits and Systems II: Express Briefs, vol. 59, pp. 908-912, 2012.
[25] A. Alvandpour, R. K. Krishnamurthy, K. Soumyanath, and S. Y. Borkar, "A sub-130-nm conditional keeper technique," IEEE J. Solid-State Circuits, vol. 37, pp. 633-638, 2002.
[26] C.-H. Huang, T.-L. Wu, and Y.-M. Wang, "Adaptive Pseudo Dual Keeper for Wide Fan-In Dynamic Circuits," IEEE Trans. Circuits and Systems II: Express Briefs, vol. 58, pp. 672-676, 2011.
[27] A. Agarwal, S. Hsu, S. Mathew, M. Anders, H. Kaul, F. Sheikh, and R. Krishnamurthy, "A 32nm 8.3GHz 64-entry 32b variation tolerant near-threshold voltage register file," in Proc. IEEE Symposium on VLSI Circuits (VLSIC), pp. 105-106, 2010.
[28] R. G. D. Jeyasingh, N. Bhat, and B. Amrutur, "Adaptive Keeper Design for Dynamic Logic Circuits Using Rate Sensing Technique," IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 19, pp. 295-304, 2011.
[29] S. Ishikura, M. Kurumada, T. Terano, Y. Yamagami, N. Kotani, K. Satomi, K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, T. Oashi, H. Makino, H. Shinohara, and H. Akamatsu, "A 45nm 2port 8T-SRAM using hierarchical replica bitline technique with immunity from simultaneous R/W access issues," in Proc. IEEE Symposium on VLSI Circuits pp. 254-255, 2007.
[30] Y. Lih, N. Tzartzanis, and W. W. Walker, "A Leakage Current Replica Keeper for Dynamic Circuits," IEEE J. Solid-State Circuits, vol. 42, pp. 48-55, 2007.
[31] A. Peiravi and M. Asyaei, "Current-Comparison-Based Domino: New Low-Leakage High-Speed Domino Circuit for Wide Fan-In Gates," IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 21, pp. 934-943, 2013.
[32] T.-H. Kim, J. Liu, and C. H. Kim, "A Voltage Scalable 0.26 V, 64 kb 8T SRAM With Vmin Lowering Techniques and Deep Sleep Mode," IEEE J. Solid-State Circuits, vol. 44, pp. 1785-1795, 2009.
[33] V. Mahor, A. Chouhan, and M. Pattanaik, "A Process Variation Tolerant Low Contention Keeper Design for Wide Fan-In Dynamic OR Gate," in Proc. International Symposium on Electronic System Design (ISED), pp. 151-153, 2012.
[34] C. H. Kim, K. Roy, S. Hsu, R. Krishnamurthy, and S. Borkar, "A process variation compensating technique with an on-die leakage current sensor for nanometer scale dynamic circuits," IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 14, pp. 646-649, 2006.
[35] H. F. Dadgour and K. Banerjee, "A Novel Variation-Tolerant Keeper Architecture for High-Performance Low-Power Wide Fan-In Dynamic or Gates," IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 18, pp. 1567-1577, 2010.
[36] K. Kushida, A. Suzuki, G. Fukano, A. Kawasumi, O. Hirabayashi, Y. Takeyama, T. Sasaki, A. Katayama, Y. Fujimura, and T. Yabe, "A 0.7 V Single-Supply SRAM With 0.495um2 Cell in 65 nm Technology Utilizing Self-Write-Back Sense Amplifier and Cascaded Bit Line Scheme," IEEE J. Solid-State Circuits, vol. 44, pp. 1192-1198, 2009.
[37] M. Qazi, K. Stawiasz, L. Chang, and A. Chandrakasan, "A 512kb 8T SRAM macro operating down to 0.57V with an AC-coupled sense amplifier and embedded data-retention-voltage sensor in 45nm SOI CMOS," in Proc. International Solid-State Circuits Conference, pp. 350-351, 2010.
[38] S. tkemeier, and U. Ruckert, "A Subthreshold to Above-Threshold Level Shifter Comprising a Wilson Current Mirror," IEEE Trans. Circuits and Systems II: Express Briefs, vol. 57, pp. 721-724, 2010.
[39] A. Pavlov and M. Sachdev, CMOS SRAM Circuit Design and Parametric Test in Nano-Scaled Technologies: Springer, 2008.
[40] M. Anders, R. Krishnamurthy, R. Spotten, and K. Soumyanath, "Robustness of sub-70 nm dynamic circuits: analytical techniques and scaling trends," in Proc. Symposium on VLSI Circuits, 2001. Digest of Technical Papers, pp. 23-24, 2001.
[41] M. Alioto, G. Palumbo, and M. Pennisi, "A simple keeper topology to reduce delay variations in nanometer domino logic," in Proc. IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1576-1579, 2012.
[42] K. Yelamarthi and C. I. Chen, "Timing Optimization and Noise Tolerance for Dynamic CMOS Susceptible to Process Variations," IEEE Trans. Semiconductor Manufacturing, vol. 25, pp. 255-265, 2012.
[43] S. Yoshimoto, S. Miyano, M. Takamiya, H. Shinohara, H. Kawaguchi, and M. Yoshimoto, "A 40-nm 8T SRAM with selective source line control of read bitlines and address preset structure," in Proc. Custom Integrated Circuits Conference (CICC) , pp. 1-4, 2013.
校內:2019-09-10公開