| 研究生: |
許志勝 Syu, Jhih-Sheng |
|---|---|
| 論文名稱: |
基於機器學習技巧達成以可繞度為導向並能感知模塊分佈之列型態電源網路佈局法 Routability-Driven Macro-Aware Powerplanning Methodology Based on Learning Technique |
| 指導教授: |
林家民
Lin, Jai-Ming |
| 學位類別: |
碩士 Master |
| 系所名稱: |
電機資訊學院 - 電機工程學系 Department of Electrical Engineering |
| 論文出版年: | 2018 |
| 畢業學年度: | 106 |
| 語文別: | 英文 |
| 論文頁數: | 51 |
| 中文關鍵詞: | 機器學習 、電源網路規劃 、可繞度 、繞線擁擠 |
| 外文關鍵詞: | Machine Learning, Powerplanning, Routability, Routing Congestion |
| 相關次數: | 點閱:47 下載:0 |
| 分享至: |
| 查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報 |
系統單晶片(SoC)包含越來越多的模塊,使得電源網路規劃問題(Powerplanning)變得越來越複雜。如何使用最少的繞線資源滿足需求,是非常困難的問題。為了避免發生電壓下降(IR-drop)及電遷移效應(Electromigration),傳統電源網路規劃常使用過多的電源網路線,而浪費不必要的繞線資源,增加晶片成本。往往在繞線完成後,才發現在晶片某些位置出現繞線擁擠,因此,勢必要去更新模塊擺置的位置,或是移除某些電源網路線來解決,否則會導致上市時間(time-to-market)延長。為了節省繞線資源並提高整體電源網路之可繞度(Routability),應用機器學習(Machine learning)的技術,其可達到溯往既來,能根據前人的經驗,預測未來會發生的結果;因IC Compiler需耗費大量時間估計全域繞線擁擠度,故透過機器學習能更快速獲得結果。機器學習的模型訓練上應用數十個特徵以學習ICC擁擠圖所呈現全域繞線之擁擠區域。根據機器學習預測出擁擠圖結果便能避免電源線段擺置於過度擁擠區域,再搭配提出的列式(Row-style)電源網格促進預先擺置模塊的連接,進一步獲得較佳的可繞度。另外,使用有效電源線段寬度,不僅減少繞線資源的浪費外還發揮更高效能的供電。此為第一篇提出透過機器學習預測擁擠度並使用線性規劃演算法最小化電源網路繞線面積並同時考量可繞度的論文。實驗結果表明,列式電源規劃顯著提高具有多個模塊電路之可繞度,再透過機器學習所預測擁擠圖優化整體電源網路,便能更進一步提升可繞度。
SoC contains more and more macros, which makes the powerplanning problem more and more complicated. It is a very difficult problem that use the minimum routing resources to achieve the target. In order to avoid IR-drop and electromigration effect, traditional powerplanning often uses too many power stripes lead to waste unnecessary routing resources and increasing costs. Only after the routing complete can find that congestion in some locations on the chip. Therefore, it is imperative to update the position of the macro placement, or remove some power stripes to solve these problems. Otherwise, it will lead to time-to-market extension. In order to save routing resources and improve the routability of the overall power network, machine learning technology is applied. It can be traced back to the past and prediction of the result based on previous experience. Because IC Compiler needs to spend a lot of time estimating the degree of global routing congestion, it is faster to obtain results through machine learning. Dozens of features are applied to machine learning model training to learn about the congestion regions of the global route presented by the IC Compiler congestion map. According to machine learning prediction of the result, the high congestion region can be avoided to place the power stripe. Then with the proposed row-style power grid to facilitate the connection of the pre-placed macros, and further to obtain better routability. In addition, the use of an effective power stripe width (ESW) not only reduces the waste of the routing resources but also enables a higher-efficiency voltage supply. This is the first paper that proposes predicting congestion by machine learning and using a linear programming algorithm to minimize the power network routing area while taking into account the routability. The experimental results show that row-style powerplanning can significantly improve the routability of circuits with multiple macros, and then optimize the overall power network through machine learning predicted congestion maps, which can further improve the routability.
[1] S. Chowdhury, “Optimum design of reliable IC power networks having general graph topologies,” in Proceedings of DAC, pp. 787-790, 1989.
[2] C. Chu and Y.-C. Wong, “FLUTE : fast lookup table based rectilinear steiner minimal tree algorithm for VLSI design,” in IEEE TCAD, vol. 27, no. 1, pp. 70-83, Jan. 2008.
[3] W.-H. Chang, M.C.-T. Chao and S.-H. Chen, “Practical routability-driven design flow for multilayer power networks using aluminum-pad layer,” in IEEE TVLSI, vol. 22, no. 5, pp. 1069-1081, Jun. 2013.
[4] C.-C. Huang, C.-T. Lin, W.-S. Liao, C.-J. Lee, H.-M. Chen, C.-H. Lee and D.-M. Kwai, “Improving power delivery network design by practical methodologies,” in Proceedings of ICCD, pp. 237-242, 2014.
[5] Z. Li, Y. Ma, Q. Zhou, Y. Cai, Y. Wang, T. Huang, and Y. Xie, “Thermal-aware power network design for IR drop reduction in 3D ICs,” in Proceedings of ASP-DAC, pp.47-52, 2012.
[6] S.S.-Y. Liu, C.-J. Lee, C.-C. Huang, H.-M. Chen, C.-T. Lin and C.-H. Lee, “Effective power network prototyping via statistical-based clustering and sequential linear programming,” in Proceedings of DATE, pp. 1701-1706, 2013.
[7] T. Mitsuhashi and E. S. Kuh, “Power and ground network topology optimization for cell-based VLSIs,” in Proceedings of DAC, pp. 524-529, 1992.
[8] K. Shi, Z. Lin and Y. M. Jiang, “A power network synthesis method for industrial power gating designs,” in Proceedings of ISQED, pp. 362-367, 2007.
[9] X.-D. Tan, C.-J.R. Shi, D. Lungeanu, J.-C. Lee and L.-P. Yuan,“Reliability-constrained area optimization of VLSI power/ground networks via sequence of linear programmings,” in Proceedings of DAC, pp. 78-83, 2003.
[10] T.-Y. Wang and C.-C. Chen, “Optimization of the power/ground network wire-sizing and spacing based on sequential network simplex algorithm,” in Proceedings of ISQED, pp. 157-162, 2002.
[11] X.-H.Wu, C.-G. Qiao, L. Yin, and X. L. Hong, “Design and optimization of power/ground network for BBL-based VLSIs,” Acta Electronica Sinica, Aug. 2000.
[12] X. Wu, X. Hong, Y. Cai, Z. Luo, C.-K. Cheng, J. Gu, and W. Dai, “Area minimization of power distribution network using efficient nonlinear programming techniques,” in IEEE TCAD, vol. 23, no. 7, pp. 1086-1094, Jun. 2004.
[13] T.-C Weng, “A Routability-Driven Powerplanning Methodology Based on the Dynamic Programming Algorithm ,” thesis of department of electrical engineering national Cheng Kung university, 2015.
[14] B.-Y Huang, “Congestion-Aware Powerplanning Methodology with Total Power Stripe Width Prediction based on Linear programming ,” thesis of department of electrical engineering national Cheng Kung university, 2017.
[15] V. N. Vapnik, The Nature of Statistical Learning Theory. New York: Springer, 1995.