| 研究生: |
黃啟睿 Huang, Chi-Ray |
|---|---|
| 論文名稱: |
具有高能量效率與高可靠度之近臨界電壓靜態隨機存取記憶體 Highly Energy-Efficient and Reliable Near-Threshold SRAM |
| 指導教授: |
邱瀝毅
Chiou, Lih-Yih |
| 學位類別: |
博士 Doctor |
| 系所名稱: |
電機資訊學院 - 電機工程學系 Department of Electrical Engineering |
| 論文出版年: | 2021 |
| 畢業學年度: | 109 |
| 語文別: | 英文 |
| 論文頁數: | 118 |
| 中文關鍵詞: | 靜態隨機存取記憶體 、低功率 、低電壓 、次臨界電壓操作 、製程變異 |
| 外文關鍵詞: | SRAM, Process Variation, Low Power, Low Voltage |
| 相關次數: | 點閱:78 下載:0 |
| 分享至: |
| 查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報 |
無論是手持式或是微小化的電子產品,其對於長時間使用的需求與日俱增,催生出低功耗數位電路與系統的蓬勃發展。在數位系統中,靜態隨機存取記憶體影響了系統不管是動態功率消耗、靜態漏電流、運算效能、面積、甚至是良率。因此對於低功耗的數位系統而言,靜態隨機存取記憶體的設計便至關重要。為了降低動態與靜態功耗,調降操作電壓是一個有效的設計方法。然而,低電壓靜態隨機存取記憶體設計面臨來自製程變異的挑戰,其帶來的影響將導致記憶體的穩定性與良率降低。為了能夠讓靜態隨機存取記憶體在低電壓下正確地操作,輔助電路與高穩定性的記憶體單元為兩大設計主軸。本文因此以這兩大主軸為基礎,深入探討與分析低電壓,尤其是調降電壓至近臨界電壓區域的操作所帶來的影響與挑戰,並提出克服此影響所需要的相關設計技術。其研發重點摘要如下。首先,本文提出一具有選擇性偏壓能力的寫入輔助電路以及寫入能力偵測電路,解決傳統寫入輔助電路具有較大功耗付出的缺點。其次,本文更提出一低功耗單端位元線的記憶體單元,其不需要任何形式的輔助電路便能在低電壓下提供高穩定性的操作。本文另探討位元線漏電流對於單端讀取能力的影響,並提出能同時降低漏電流與讀取功耗的讀取阜以及相關控制技術。除了靜態隨機存取記憶體的動態功耗之外,本文提出自我資料保持電壓調節技術,來降低靜態隨機存取記憶體處於睡眠模式時的漏電流功耗,取代傳統電壓調降至固定式的最差情況設計。最後,本文亦提出具有廣訊號電壓轉換能力以及低靜態功耗的介面電壓準位轉換器,提供低電壓靜態隨機存取記憶體與其他不同電壓電路的溝通能力。以上所提出的新式電路設計技術,皆經過嚴謹的模擬以及實體晶片驗證,證明其低電壓的正確性與低功耗應用的可行性。
The long-term operation requirements of devices with small dimensions have driven the development of low-power circuits and systems. Static random-access memories (SRAMs) substantially contribute to the dynamic energy, leakage power, system performance, and yield of integrated digital systems. To achieve high energy efficiency, scaling down the supply voltage is effective for digital systems on a chip (SoCs). Unfortunately, the exacerbated Vth shift with reduced VDD results in a diminished strength ratio of the conventional 6T SRAM cell and yields unstable operation, limiting the minimum achievable operating voltage for SRAMs. Researches related to peripheral assist circuits used to enlarge the strength ratio and different bit-cell structures have been developed to push the SRAM VDDmin reduction. This dissertation discusses the research and developments of the circuit techniques to enable low voltage and low power SRAM designs. The crucial achievements are organized as follows. First, an energy-efficient write assist circuit and write margin tracking method are proposed for saving assist overhead by conditionally activating the assist circuits. Second, a low-power single-BL 8T bit-cell structure that has high cell stability is proposed. This cell structure can operate down to the near-threshold region without the use of peripheral assist circuits. Third, the challenges of low energy and reliable single-ended read are investigated, and a dedicated read port with data-independent bitline leakage is proposed to reduce redundant read while improving sensing margin. In addition to the power reduction for active mode, a PVT-aware self VDD regulating scheme is proposed to maximize leakage saving for standby mode. Finally, a wide range level shifter from sub-to-super-threshold voltage is also designed to help the low voltage SRAM communicating to blocks with different supply voltages. Above mentioned techniques are verified with nanometer process technology, involving simulations and measurements.
[1] M. Alioto, "Ultra-low power VLSI circuit design demystified and explained: A tutorial, " IEEE Trans. Circuits Syst. I, Regul. Pap., vol. 59, pp. 3–29, 2012.
[2] D. Bol, M. Schramme, L. Moreau, T. Haine, P. Xu, C. Frenkel, R. Dekimpe, F. Stas, and D. Flandre, "A 40-to-80MHz Sub-4μW/MHz ULV Cortex-M0 MCU SoC in 28nm FDSOI With Dual-Loop Adaptive Back-Bias Generator for 20μs Wake-Up from Deep Fully Retentive Sleep Mode," in Proc. IEEE International Solid- State Circuits Conference (ISSCC), 2019, pp. 322-324.
[3] R. Salvador, A. Sanchez, X. Fan, and T. Gemmeke, "A Cortex-M3 Based MCU Featuring AVS with 34nW Static Power, 15.3pJ/inst. Active Energy, and 16% Power Variation Across Process and Temperature," in Proc. European Solid State Circuits Conference (ESSCIRC), 2018, pp. 278-281.
[4] G. Lallement, F. Abouzeid, M. Cochet, J. Daveau, P. Roche, and J. Autran, "A 2.7 pJ/cycle 16 MHz, 0.7 uW Deep Sleep Power ARM Cortex-M0+ Core SoC in 28 nm FD-SOI," IEEE J. Solid-State Circuits, vol. 53, pp. 2088-2100, 2018.
[5] J. Myers, A. Savanth, P. Prabhat, S. Yang, R. Gaddh, S. O. Toh, and D. Flynn, "A 12.4pJ/cycle sub-threshold, 16pJ/cycle near-threshold ARM Cortex-M0+ MCU with autonomous SRPG/DVFS and temperature tracking clocks," in Proc. Symposium on VLSI Circuits (VLSIC), 2017, pp. C332-C333.
[6] D. Bol, J. De Vos, C. Hocquet, F. Botman, F. Durvaux, S. Boyd, D. Flandre, and J. Legat, "SleepWalker: A 25-MHz 0.4-V Sub-mm2 7-uw/MHz Microcontroller in 65-nm LP/GP CMOS for Low-Carbon Wireless Sensor Nodes," IEEE J. Solid-State Circuits, vol. 48, pp. 20-32, 2013.
[7] H. Kaul, M. Anders, S. Hsu, A. Agarwal, R. Krishnamurthy, and S. Borkar, "Near-threshold voltage (NTV) design -- Opportunities and challenges," in Proc. ACM/IEEE Design Automation Conference (DAC), 2012, pp. 1149-1154.
[8] S. Lutkemeier, T. Jungeblut, H. K. O. Berge, S. Aunet, M. Porrmann and U. Rückert, "A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control," IEEE J. Solid-State Circuits, vol. 48, pp. 8-19, 2013.
[9] J. Myers, A. Savanth, R. Gaddh, D. Howard, P. Prabhat and D. Flynn, "A Subthreshold ARM Cortex-M0+ Subsystem in 65 nm CMOS for WSN Applications with 14 Power Domains, 10T SRAM, and Integrated Voltage Regulator," IEEE J. Solid-State Circuits, vol. 51, pp. 31-44, 2016.
[10] AmbiqMicro, Apollo2 datasheet, on-line.
[11] J. P. Kulkarni and K. Roy, "Ultralow-Voltage Process-Variation-Tolerant Schmitt-Trigger-Based SRAM Design," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 20, pp. 319-332, 2012.
[12] K. Takeda, T. Saito, S. Asayama, Y. Aimoto, H. Kobatake, S. Ito, T. Takahashi, M. Nomura, K. Takeuchi, and Y. Hayashi, "Multi-Step Word-Line Control Technology in Hierarchical Cell Architecture for Scaled-Down High-Density SRAMs," IEEE J. Solid-State Circuits, vol. 46, pp. 806-814, 2011.
[13] H. Nho, P. Kolar, F. Hamzaoglu, Y. Wang, E. Karl, Y. Ng, U. Bhattacharya, and K. Zhang, "A 32nm High-k metal gate SRAM with adaptive dynamic stability enhancement for low-voltage operation," in Proc. IEEE International Solid-State Circuits Conference (ISSCC), 2010, pp. 346-347.
[14] T. Song, W. Rim, S. Park, Y. Kim, J. Jung, G. Yang, S. Baek, J. Choi, B. Kwon, Y. Lee, S. Kim, G. Kim, H. S. Won, J. H. Ku, S. S. Paak, E. Jung, S. S. Park, and K. Kim, "A 10nm FinFET 128Mb SRAM with assist adjustment system for power, performance, and area optimization," in Proc. IEEE International Solid-State Circuits Conference (ISSCC), 2016, pp. 306-307.
[15] H. Pilo, I. Arsovski, K. Batson, G. Braceras, J. Gabric, R. Houle, S. Lamphier, C. Radens, and A. Seferagic, "A 64 Mb SRAM in 32 nm High-k Metal-Gate SOI Technology With 0.7 V Operation Enabled by Stability, Write-Ability and Read-Ability Enhancements," IEEE J. Solid-State Circuits, vol. 47, pp. 97-106, 2012.
[16] L. Chang, R. K. Montoye, Y. Nakamura, K. A. Batson, R. J. Eickemeyer, R. H. Dennard, W. Haensch, and D. Jamsek, "An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches," IEEE J. Solid-State Circuits, vol. 43, pp. 956-963, 2008.
[17] N. Verma and A. P. Chandrakasan, "A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy," IEEE J. Solid-State Circuits, vol. 43, pp. 141-149, 2008.
[18] T. H. Kim, J. Liu, and C. H. Kim, "A Voltage Scalable 0.26 V, 64 kb 8T SRAM With Vmin Lowering Techniques and Deep Sleep Mode," IEEE J. Solid-State Circuits, vol. 44, pp. 1785-1795, 2009.
[19] S. Yoshimoto, M. Terada, S. Okumura, T. Suzuki, S. Miyano, H. Kawaguchi, and M. Yoshimoto, "A 40-nm 0.5-V 20.1-uW/MHz 8T SRAM with low-energy disturb mitigation scheme," in Proc. Symposium on VLSI Circuits (VLSIC), 2011, pp. 72-73.
[20] M. Qazi, K. Stawiasz, L. Chang, and A. P. Chandrakasan, "A 512kb 8T SRAM Macro Operating Down to 0.57V With an AC-Coupled Sense Amplifier and Embedded Data-Retention-Voltage Sensor in 45nm SOI CMOS," IEEE J. Solid-State Circuits, vol. 46, pp. 85-96, 2011.
[21] Y. H. Chen, W. M. Chan, W. C. Wu, H. J. Liao, K. H. Pan, J. J. Liaw, T. H. Chung, Q. Li, C. Y. Lin, M. C. Chiang, S. Y. Wu and J. Chang, "A 16 nm 128 Mb SRAM in High-k Metal-Gate FinFET Technology With Write-Assist Circuitry for Low-VMIN Applications," IEEE J. Solid-State Circuits, vol. 50, pp. 170-177, 2015.
[22] T. Song, W. Rim, J. Jung, G. Yang, J. Park, S. Park, Y. Kim, K. H. Baek, S. Baek, S. K. Oh, S. Kim, G. Kim, J. Kim, Y. Lee, S. P. Sim, J. S. Yoon, K. M. Choi, and H. Won, "A 14 nm FinFET 128 Mb SRAM With VMIN Enhancement Techniques for Low-Power Applications," IEEE J. Solid-State Circuits, vol. 50, pp. 158-169, 2015.
[23] Z. Guo, D. Kim, S. Nalam, J. Wiedemer, X. Wang, and E. Karl, "A 23.6-Mb/mm2 SRAM in 10-nm FinFET Technology With Pulsed-pMOS TVC and Stepped-WL for Low-Voltage Applications," IEEE J. Solid-State Circuits, vol. 54, pp. 210-216, 2019.
[24] H. Jeong, S. H. Oh, T. W. Oh, H. Kim, C. N. Park, W. Rim, T. Song and S. O. Jung, "Bitline Charge-Recycling SRAM Write Assist Circuitry for VMIN Improvement and Energy Saving," IEEE J. Solid-State Circuits, vol. 54, pp. 896-906, 2019.
[25] M. E. Sinangil, N. Verma, and A. P. Chandrakasan, "A 45nm 0.5V 8T column-interleaved SRAM with on-chip reference selection loop for sense-amplifier," in Proc. IEEE Asian Solid-State Circuits Conference (ASSCC), 2009, pp. 225-228.
[26] G. Pasandi and S. M. Fakhraie, "An 8T Low-Voltage and Low-Leakage Half-Selection Disturb-Free SRAM Using Bulk-CMOS and FinFETs," IEEE Trans. Electron Devices, vol. 61, pp. 2357-2363, 2014.
[27] B. Wang, Q. Li, and T. T. Kim, "Read Bitline Sensing and Fast Local Write-Back Techniques in Hierarchical Bitline Architecture for Ultralow-Voltage SRAMs," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 24, pp. 2165-2173, 2016.
[28] I. J. Chang, J. Kim, S. P. Park, and K. Roy, "A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS," IEEE J. Solid-State Circuits, vol. 44, pp. 650-658, 2009.
[29] M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, Y. Nakase, and H. Shinohara, "A 45nm 0.6V cross-point 8T SRAM with negative biased read/write assist," in Proc. Symposium on VLSI Circuits (VLSIC), 2009, pp. 158-159.
[30] N. C. Lien, L. W. Chu, C. H. Chen, H. I. Yang, M. H. Tu, P. S. Kan, Y. J. Hu, C. T. Chuang, S. J. Jou and W. Hwang, "A 40 nm 512 kb Cross-Point 8 T Pipeline SRAM With Binary Word-Line Boosting Control, Ripple Bit-Line and Adaptive Data-Aware Write-Assist," IEEE Trans. on Circuits and Systems I: Regular Papers, vol. 61, pp. 3416-3425, 2014.
[31] M. H. Chang, Y. T. Chiu and W. Hwang, "Design and Iso-Area Vmin Analysis of 9T Subthreshold SRAM with Bit-Interleaving Scheme in 65-nm CMOS," IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 59, pp. 429-433, 2012.
[32] M. H. Tu, J. Y. Lin, M. C. Tsai, C. Y. Lu, Y. J. Lin, M. H. Wang, H. S. Huang, K. D. Lee, W. C. Shih, S. J. Jou, and C. T. Chuang, "A Single-Ended Disturb-Free 9T Subthreshold SRAM With Cross-Point Data-Aware Write Word-Line Structure, Negative Bit-Line, and Adaptive Read Operation Timing Tracing," IEEE J. Solid-State Circuits, vol. 47, pp. 1469-1482, 2012.
[33] A.-T. Do, J. Y. S. Low, J. Y. L. Low, Z. H. Kong, X. Tan, and K. S. Yeo, "An 8T Differential SRAM With Improved Noise Margin for Bit-Interleaving in 65 nm CMOS," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, pp. 1252-1263, 2011.
[34] K. Kang, H. Jeong, Y. Yang, J. Park, K. Kim, and S. O. Jung, "Full-Swing Local Bitline SRAM Architecture Based on the 22-nm FinFET Technology for Low-Voltage Operation," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 24, pp. 1342-1350, 2016.
[35] T. W. Oh, H. Jeong, J. Park, and S. Jung, "Pre-Charged Local Bit-Line Sharing SRAM Architecture for Near-Threshold Operation," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 64, pp. 2737-2747, 2017.
[36] J. Park, T. W. Oh, and S. Jung, "pMOS Pass Gate Local Bitline SRAM Architecture With Virtual VSS for Near-Threshold Operation," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 28, pp. 1079-1083, 2020.
[37] T. W. Oh, H. Jeong, K. Kang, J. Park, Y. Yang, and S. Jung, "Power-Gated 9T SRAM Cell for Low-Energy Operation," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 25, pp. 1183-1187, 2017.
[38] H. Fujiwara, M. Yabuuchi, M. Morimoto, K. Tanaka, M. Tanaka, N. Maeda, Y. Tsukamoto, and K. Nii, "A 20nm 0.6V 2.1µW/MHz 128kb SRAM with no half select issue by interleave wordline and hierarchical bitline scheme," in Proc. IEEE Symp. VLSI Circuits (VLSIC), 2013, pp. C118-C119.
[39] K. Kim, H. Jeong, J. Park, and S. Jung, "Transient Cell Supply Voltage Collapse Write Assist Using Charge Redistribution," IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 63, pp. 964-968, 2016.
[40] H. Jeong, T. Kim, Y. Yang, T. Song, G. Kim, H. S. Won, and S. O. Jung, "Offset-Compensated Cross-Coupled PFET Bit-Line Conditioning and Selective Negative Bit-Line Write Assist for High-Density Low-Power SRAM," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, pp. 1062-1070, 2015.
[41] Z. Guo, J. Wiedemer, Y. Kim, P. S. Ramamoorthy, P. B. Sathyaprasad, S. Shridharan, D. Kim, and E. Karl, "A 10nm SRAM Design using Gate-Modulated Self-Collapse Write Assist Enabling 175mV VMIN Reduction with Negligible Power Overhead," in Proc. IEEE Symp. VLSI Circuits (VLSIC), 2020, pp. 1-2.
[42] G. Chen, M. Wieckowski, D. Blaauw, and D. Sylvester, "Crosshairs SRAM — An adaptive memory for mitigating parametric failures," in Proc. Eur. Solid State Circuits Conf. (ESSCIRC), 2010, pp. 366-369.
[43] H. Makino, S. Nakata, H. Suzuki, S. Mutoh, M. Miyama, T. Yoshimura, S. Iwade, and Y. Matsuda, "Reexamination of SRAM Cell Write Margin Definitions in View of Predicting the Distribution," IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 58, pp. 230-234, 2011.
[44] Y. W. Chiu, Y. H. Hu, J. K. Zhao, S. J. Jou, and C. T. Chuang, "A 40 nm 0.32 V 3.5 MHz 11T single-ended bit-interleaving subthreshold SRAM with data-aware write-assist," in Proc. Int. Symp. Low Power Electron. Design (ISLPED), 2013, pp. 51-56.
[45] Y. W. Chiu, Y. H. Hu, M. H. Tu, J. K. Zhao, Y. H. Chu, S. J. Jou, and C. T. Chuang, "40 nm Bit-Interleaving 12T Subthreshold SRAM With Data-Aware Write-Assist," IEEE Trans. Circuits Syst. I, Regul. Pap., vol. 61, pp. 2578-2585, 2014.
[46] C. Y. Lu, M. H. Tu, H. I. Yang, Y. P. Wu, H. S. Huang, Y. J. Lin, K. D. Lee, Y. S. Kao, C. T. Chuang, and S. J. Jou, "A 0.33-V, 500-kHz, 3.94-uW 40-nm 72-Kb 9T Subthreshold SRAM With Ripple Bit-Line Structure and Negative Bit-Line Write-Assist," IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 59, pp. 863-867, 2012.
[47] C. Y. Lu, C. T. Chuang, S. J. Jou, M. H. Tu, Y. P. Wu, C. P. Huang, P. S. Kan, H. S. Huang, K. D. Lee, and Y. S. Kao, "A 0.325 V, 600-kHz, 40-nm 72-kb 9T Subthreshold SRAM with Aligned Boosted Write Wordline and Negative Write Bitline Write-Assist, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 23, pp. 958-962, 2015.
[48] B. Zimmer, S. O. Toh, H. Vo, Y. Lee, O. Thomas, K. Asanovic, and B. Nikolic, "SRAM Assist Techniques for Operation in a Wide Voltage Range in 28-nm CMOS," IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 59, pp. 853-857, 2012.
[49] M. F. Chang, S. W. Chang, P. W. Chou, and W. C. Wu, "A 130 mV SRAM With Expanded Write and Read Margins for Subthreshold Applications," IEEE J. Solid-State Circuits, vol. 46, pp. 520-529, 2011.
[50] A. Teman, L. Pergament, O. Cohen, and A. Fish, "A 250 mV 8 kb 40 nm Ultra-Low Power 9T Supply Feedback SRAM (SF-SRAM)," IEEE J. Solid-State Circuits, vol. 46, pp. 2713-2726, 2011.
[51] C. B. Kushwah and S. K. Vishvakarma, "A Single-Ended with Dynamic Feedback Control 8T Subthreshold SRAM Cell," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 24, pp. 373-377, 2016.
[52] R. Saeidi, M. Sharifkhani, and K. Hajsadeghi, "A Subthreshold Symmetric SRAM Cell with High Read Stability," IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 61, pp. 26-30, 2014.
[53] Y. Yang, H. Jeong, S. C. Song, J. Wang, G. Yeap, and S. O. Jung, "Single Bit-Line 7T SRAM Cell for Near-Threshold Voltage Operation with Enhanced Performance and Energy in 14 nm FinFET Technology," IEEE Trans. Circuits Syst. I, Regul. Pap., vol. 63, pp. 1023-1032, 2016.
[54] N. Ickes, G. Gammie, M. E. Sinangil, R. Rithe, J. Gu, A. Wang, H. Mair. S. Datla, B. Rong, H. P. Sushma, L. Ho, G. Baldwin, D. Buss, A. P. Chandrakasan, and U. Ko, "A 28 nm 0.6 V Low Power DSP for Mobile Applications," IEEE J. Solid-State Circuits, vol. 47, pp. 35-46, 2012.
[55] S. O. Toh, Z. Guo, T. J. K. Liu, and B. Nikolic, "Characterization of Dynamic SRAM Stability in 45 nm CMOS," IEEE J. Solid-State Circuits, vol. 46, pp. 2702-2712, 2011.
[56] D. Kim, V. Chandra, R. Aitken, D. Blaauw, and D. Sylvester, "Variation-aware static and dynamic writability analysis for voltage-scaled bit-interleaved 8-T SRAMs," in Proc. IEEE Int. Symp. Low Power Electron. Design (ISLPED), 2011, pp. 145-150.
[57] J. Wang, S. Nalam, and B. H. Calhoun, "Analyzing static and dynamic write margin for nanometer SRAMs," in Proc. IEEE Int. Symp. Low Power Electron. Design (ISLPED), 2008, pp. 129-134.
[58] B. Rooseleer, S. Cosemans, and W. Dehaene, "A 65 nm, 850 MHz, 256 kbit, 4.3 pJ/access, Ultra Low Leakage Power Memory Using Dynamic Cell Stability and a Dual Swing Data Link," IEEE J. Solid-State Circuits, vol. 47, pp. 1784-1796, 2012.
[59] K. Kushida, A. Suzuki, G. Fukano, A. Kawasumi, O. Hirabayashi, Y. Takeyama, T. Sasaki, A. Katayama, Y. Fujimura, and T. Yabe, "A 0.7 V Single-Supply SRAM With 0.495 um2 Cell in 65 nm Technology Utilizing Self-Write-Back Sense Amplifier and Cascaded Bit Line Scheme," IEEE J. Solid-State Circuits, vol. 44, pp. 1192-1198, 2009.
[60] S. Cosemans, W. Dehaene, and F. Catthoor, "A Low-Power Embedded SRAM for Wireless Applications," IEEE J. Solid-State Circuits, vol. 42, pp. 1607-1617, 2007.
[61] M. Yamaoka, K. Osada, and T. Kawahara, "A cell-activation-time controlled SRAM for low-voltage operation in DVFS SoCs using dynamic stability analysis," in Proc. European Solid-State Circuits Conf. (ESSCIRC), 2008, pp. 286-289.
[62] H. Mori, T. Nakagawa, Y. Kitahara, Y. Kawamoto, K. Takagi, S. Yoshimoto, S. Izumi, H. Kawaguchi, and M. Yoshimoto, "A 28-nm FD-SOI 8T Dual-Port SRAM for Low-Energy Image Processor With Selective Sourceline Drive Scheme," IEEE Trans. Circuits Syst. I, Reg. Papers, pp. 1-12, 2018.
[63] D. Wang, H. Lin, C. Chuang, and W. Hwang, "Low-Power Multiport SRAM With Cross-Point Write Word-Lines, Shared Write Bit-Lines, and Shared Write Row-Access Transistors," IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 61, pp. 188-192, 2014.
[64] G. Pasandi and S. M. Fakhraie, "A 256-kb 9T Near-Threshold SRAM With 1k Cells per Bitline and Enhanced Write and Read Operations," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 23, pp. 2438-2446, 2015.
[65] B. H. Calhoun and A. P. Chandrakasan, "A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation," IEEE J. Solid-State Circuits, vol. 42, pp. 680-688, 2007.
[66] K. Tae-Hyoung, J. Liu, J. Keane, and C. H. Kim, "A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing," IEEE J. Solid-State Circuits, vol. 43, pp. 518-529, 2008.
[67] Q. Li and T. T. Kim, "A 9T subthreshold SRAM bitcell with data-independent bitline leakage for improved bitline swing and variation tolerance," in Proc. IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), 2010, pp. 260-263.
[68] A. T. Do, T. Q. Nguyen, K. S. Yeo, and T. T. Kim, "Sensing Margin Enhancement Techniques for Ultra-Low-Voltage SRAMs Utilizing a Bitline-Boosting Current and Equalized Bitline Leakage," IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 59, pp. 868-872, 2012.
[69] B. Wang, T. Q. Nguyen, A. T. Do, J. Zhou, M. Je, and T. T. Kim, "Design of an Ultra-low Voltage 9T SRAM With Equalized Bitline Leakage and CAM-Assisted Energy Efficiency Improvement," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, pp. 441-448, 2015.
[70] A. Do, K. Yeo, and T. T. Kim, "A 32kb 9T SRAM with PVT-tracking read margin enhancement for ultra-low voltage operation," in Proc. IEEE International Symposium on Circuits and Systems (ISCAS), 2015, pp. 2553-2556.
[71] S. Gupta, K. Gupta, B. H. Calhoun, and N. Pandey, "Low-Power Near-Threshold 10T SRAM Bit Cells With Enhanced Data-Independent Read Port Leakage for Array Augmentation in 32-nm CMOS," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 66, pp. 978-988, 2019.
[72] Y. Yang, J. Park, S. C. Song, J. Wang, G. Yeap, and S. Jung, "Single-Ended 9T SRAM Cell for Near-Threshold Voltage Operation With Enhanced Read Performance in 22-nm FinFET Technology," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 23, pp. 2748-2752, 2015.
[73] A. Keshavarzi, S. Narendra, S. Borkar, C. Hawkins, K. Royi, and V. De, "Technology scaling behavior of optimum reverse body bias for standby leakage power reduction in CMOS IC's," in Proc. Int. Symp. Low Power Electronics and Design (ISLPED), 1999, pp. 252-254.
[74] K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge, "Drowsy caches: simple techniques for reducing leakage power," in Proc. Int. Symp. Computer Architecture (ISCA), 2002, pp. 148-157.
[75] K. Kanda, T. Miyazaki, S. Min Kyeong, H. Kawaguchi, and T. Sakurai, "Two orders of magnitude leakage power reduction of low voltage SRAMs by row-by-row dynamic vdd control (RRDV) scheme," in Proc. Int. ASIC/SOC Conf. (ASIC), 2002, pp. 381-385.
[76] F. Hamzaoglu, K. Zhang, Y. Wang, H. J. Ahn, U. Bhattacharya, Z. Chen, Y. G. Ng, A. Pavlov, K. Smits, and M. Bohr, "A 3.8 GHz 153 Mb SRAM design with dynamic stability enhancement and leakage reduction in 45 nm high-k metal gate CMOS technology," IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 148-154, Jan. 2009.
[77] Y. Wang, U. Bhattacharya, F. Hamzaoglu, P. Kolar, Y. G. Ng, L. Q. Wei, Y. Zhang, K. Zhang, and M. Bohr, "A 4.0 GHz 291 Mb voltage-scalable SRAM design in a 32 nm high-k + metal-gate CMOS technology with integrated power management," IEEE J. Solid-State Circuits, vol. 45, no. 1, pp. 103-110, Jan. 2010.
[78] Y. Wang, H. J. Ahn, U. Bhattacharya, Z. Chen, T. Coan, F. Hamzaoglu, W. M. Hafez, C. H. Jan, P. Kolar, S. H. Kulkarni, J. F. Lin, Y. G. Ng, I. Post, L. Q. Wei, Y. Zhang, K. Zhang, and M. Bohr, "A 1.1 GHz 12 uA/Mb-leakage SRAM design in 65 nm ultra-low-power CMOS technology with integrated leakage reduction for mobile applications," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 172-179, Jan. 2008.
[79] H. Qin, Y. Cao, D. Markovic, A. Vladimirescu, and J. Rabaey, "SRAM leakage suppression by minimizing standby supply voltage," in Proc. Int. Symp. Quality Electronic Design (ISQED), 2004, pp. 55-60.
[80] A. C. Cabe, Z. Qi, and M. R. Stan, "Stacking SRAM banks for ultra low power standby mode operation," in Proc. Design Automation Conf. (DAC), 2010, pp. 699-704.
[81] J. Wang, A. Hoefler, and B. H. Calhoun, "An enhanced canary-based system with BIST for SRAM standby power reduction," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 5, pp. 909-914, May. 2011.
[82] C. Dray, N. Badereddine, and C. Chanussot, "A 40nm low power SRAM retention circuit with PVT-aware self-refreshing virtual VDD regulation," in Proc. Int. Memory Workshop (IMW), 2010, pp. 1-4.
[83] L. Yi-Shiang and D. M. Sylvester, "Single stage static level shifter design for subthreshold to I/O voltage conversion," in Proc. ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2008, pp. 197-200.
[84] S. N. Wooters, B. H. Calhoun, and T. N. Blalock, "An Energy-Efficient Subthreshold Level Converter in 130-nm CMOS," IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, pp. 290-294, 2010.
[85] M. Lanuzza, P. Corsonello, and S. Perri, "Fast and Wide Range Voltage Conversion in Multisupply Voltage Designs," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. PP, pp. 1-1, 2014.
[86] M. Lanuzza, P. Corsonello, and S. Perri, "Low-Power Level Shifter for Multi-Supply Voltage Designs," IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 59, pp. 922-926, 2012.
[87] K. Yejoong, D. Sylvester, and D. Blaauw, "LC2: Limited contention level converter for robust wide-range voltage conversion," in Proc. Symposium on VLSI Circuits (VLSIC), 2011, pp. 188-189.
[88] S. Lutkemeier and U. Ruckert, "A Subthreshold to Above-Threshold Level Shifter Comprising a Wilson Current Mirror," IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, pp. 721-724, 2010.
[89] M. Sinangil, Y. T. Lin, H. J. Liao, and J. Chang, "A 290-mV, 7-nm Ultra-Low-Voltage One-Port SRAM Compiler Design Using a 12T Write Contention and Read Upset Free Bit-Cell," IEEE J. Solid-State Circuits, vol. 54, no. 4, pp. 1152-1160, 2019.
校內:2026-09-08公開