簡易檢索 / 詳目顯示

研究生: 林家緯
Lin, Chia-Wei
論文名稱: 退火製程對於高移動率矽鍺半導體載子活化及應力維持之影響
Effect of Annealing on Dopants Activation and Stress Conservation for High Mobility Silicon-Germanium Semiconductor
指導教授: 李文熙
Lee, Wen-Hsi
學位類別: 碩士
Master
系所名稱: 電機資訊學院 - 電機工程學系
Department of Electrical Engineering
論文出版年: 2017
畢業學年度: 105
語文別: 英文
論文頁數: 89
中文關鍵詞: 矽鍺材料微波退火應力維持載子活化
外文關鍵詞: Silicon-Germanium material, Microwave annealing, Stress conservation, Dopants activation
相關次數: 點閱:144下載:7
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 隨著半導體元件尺寸微縮之需求,矽基電晶體已達到其材料限制,故研究新型可替代矽的新材料越顯重要。本論文中,將研究半導體高移動率新型材料─矽鍺化合物半導體,以超高真空化學氣相沉積(UHVCVD)磊晶矽鍺薄膜後,使用離子佈值(Ion Implant)技術並探討P型載子硼之活化情形,磊晶矽鍺薄膜中應力效應可提升矽鍺化合物之移動率,進一步成為取代半導體矽通道材料之優勢,為了維持磊晶矽鍺薄膜之應力且同時達到載子活化效果,本論文利用了新型退火方式─低熱預算之微波退火探討新材料的活化及應力維持之研究。
    本論文中,將分成兩部份: 不同載子離子佈值進不同鍺比例之磊晶矽鍺薄膜與非晶化高溫離子佈值之研究。第一部分,以不同載子之離子佈值(硼,矽與硼)摻雜進入不同鍺比例之矽鍺材料,接著使用低能量微波退火製程與傳統RTA,並以阻值、磊晶薄膜繞射分析、TEM、拉曼光譜、霍爾量測等分析方法做比較,發現使用一階段微波退火能量3P,能使硼離子佈值進30% Ge比例之矽鍺材料有最低的阻值(170 ohm/sq)、最佳的磊晶薄膜品質、最小的佈值缺陷厚度(16nm)及最好的殘留應力指數(1.48%),第二部分,發現非晶化離子佈值(矽與硼)且使用150度高溫離子佈值製程可有效降低非晶化離子佈值對於矽鍺材料所造成之晶格破壞,且在微波退火能量3.5P時能使40% Ge比例之矽鍺材料有最佳的阻值與缺陷厚度之表現,接著使用兩階段微波退火能量3P+1P持續時間100秒,能在不發生應力鬆弛的情況下有效地提高摻雜硼離子活化程度(activation level),其片電阻值低至134.6 ohm/sq,霍爾量測之遷移率302.7 cm2/Vs。
    最後,我們探討不同表面覆蓋層對矽鍺材料之影響,發現在矽鍺表面沉積一層超薄矽覆蓋層薄膜,可有效降低其離子佈值所帶來的晶格破壞,與在退火過程中使用二氧化矽覆蓋層比較,其表面有較低的粗糙度(Rq=0.63 nm)及較佳的電性表現,並用X光光電子能譜儀(XPS)確認超薄矽覆蓋層薄膜在退火製程後仍然存在,可進一步提升矽鍺/金屬閘極間界面之特性。
    本論文顯示低熱預算微波退火方式有助於矽鍺新型材料之載子活化,並證明微波退火比傳統RTA更能夠幫助應力之維持且同時提升P型載子活化程度,而對於表面覆蓋層也有正面幫助。

    As the miniaturization of the size of semiconductor components, silicon-based transistor has reached its material limitations, so that researching the new materials to replace silicon is more important. In this thesis, we will study the high mobility semiconductor channel material – silicon-germanium compound. After preparing silicon germanium layer on Si substrate by ultra-high vacuum chemical vapor deposition (UHVCVD), the ion implantation technology is conducted to discuss the activation issue of p-type dopants, due to silicon germanium epitaxial layer has the stress effect to enhance the carrier mobility, it is in conflict of high temperature annealing. In order to maintain the stress of the epitaxial silicon germanium layer and achieve the activation level of carrier at the same time, this paper explores a new annealing method - microwave annealing with low thermal budget.
    In this thesis, we divided into two parts: First is the different dopants implanted into different germanium content samples and second is high ion implantation temperature for pre-amorphous process. In the first part, we found that the use of the one-step microwave annealing energy 3P, which can make boron implanted into 30% Ge content of silicon germanium layer has the lowest sheet resistance (170 ohm / sq), the best epitaxial layer quality, the minimum end-of-range defect thickness (16nm) and the better residual stress index (1.48%).
    In the second part, we found that the pre-amorphous process by using silicon and boron implanted at high implantation temperature (150℃) can effectively reduce the damage caused by ion implantation, and microwave annealing energy 3.5P for 40% Ge content sample has the ability to make the best performance of sheet resistance and defect thickness. And then use two-step microwave annealing energy (3P+1P/100s), it can further achieve higher activation level for boron implanted into 30% Ge content sample without stress relaxation. (Sheet resistance as low as 134.6 ohm / sq, Hall measurement mobility of 302.7 cm2 / Vs.)
    Finally, we discuss the effect of different surface capping layer on the silicon germanium material. It is found that the deposition of ultra-thin Si capping layer on the surface can effectively reduce the lattice damage caused by implantation, and it has the better roughness performance (Rq = 0.63 nm) and electrical properties compare to SiO2 capping layer during annealing. The X-ray photoelectron spectroscopy (XPS) confirmed that the ultra-thin silicon capping layer still exists after annealing process, can further enhance the silicon germanium / metal gate interface characteristics.
    This thesis shows that the low thermal budget microwave annealing contributes to the activation of the carrier of the silicon germanium material and proves that the microwave annealing is more effective than the traditional RTA to help maintain the stress while increasing the activation level of the p-type dopant. And it has the positive effect for ultra-thin Si capping layer.

    摘要 I Abstract III Content VI Table caption IX Figure caption X Chapter 1 Introduction 1 1-1 Semiconductor process overview 1 1-1-1 Introduction to CMOS Scaling 2 1-2 Introduction to High Mobility Channel Material 4 1-2-1 Germanium 6 1-2-2 Silicon-Germanium 7 1-3 Previous studying on Silicon and Germanium by Microwave Annealing 9 1-3-1 Silicon- Ultra-low temperature microwave annealing for ultra-shallow junctions 9 1-3-2 Germanium- Studies on Ultra Shallow Junction by Microwave Annealing for Activation of Phosphorus Dopant in Germanium 12 1-4 Motivation 14 Chapter 2 Theoretical Background 17 2-1 Ion implantation 17 2-2 Solid Phase Epitaxial Regrowth 17 2-3 Stress effect on Silicon-Germanium epitaxy layer 19 2-4 Activation of Implanted Silicon-Germanium 21 Chapter 3 Experiment Scheme 29 3-1 Process Equipment 29 3-1-1 Ion Implanter 29 3-1-2 Microwave annealing 32 3-1-3 Rapid Thermal Anneal 38 3-2 Experiment procedures 39 3-2-1 Clean Ge substrate 41 3-2-2 Silicon-Germanium epitaxy layer 42 3-2-3 Ion Implantation 43 3-2-4 Deposit Capping layer 44 3-2-5 Parameters of microwave and rapid thermal annealing 44 3-3 Analysis Equipment 46 3-3-1 Four-point probe 46 3-3-2 Raman spectrum 47 3-3-3 TEM 48 3-3-4 PL 49 Chapter 4 Results and Discussion 50 4-1 SiGe Epitaxy Layer on Silicon Wafer 50 4-2 Rapid Thermal Annealing 52 4-2-1 Electrical Characterization by RTA 52 4-2-2 SiGe Epitaxy Layer Quality after RTA 54 4-2-3 End-of-Range Defect Thickness by RTA 56 4-2-4 Residual Strain by Raman Shift after RTA 57 4-2-5 Hall Effect Measurement by RTA 59 4-3 One-step MWA Annealing 60 4-3-1 Electrical Characterization by MWA 60 4-3-2 SiGe Epitaxy Layer Quality after MWA 64 4-3-3 End-of-Range Defect Thickness by MWA 65 4-3-4 Residual Strain by Raman Shift After MWA 67 4-3-5 Hall Effect Measurement by MWA 70 4-4 Two-step MWA Annealing for Acquiring Higher Activation Level 73 4-4-1 Electrical Characterization by Two-step MWA 73 4-4-2 Crystalline Status by PL after Two-step MWA 75 4-4-3 Residual Strain by Raman Shift after Two-step MWA 76 4-5 Comparison of Ultra-thin Si Capping Layer and SiO2 Capping Layer Durning Annealing 78 4-5-1 Surface Roughness with Different Capping Layer Conditions 78 4-5-2 Electrical Characterization to Different Capping Layer Conditions 80 4-5-3 Surface Measurement by XPS 82 Chapter 5 Conclusion 83 Reference 85

    1. Moore, G., Electronics 1965, 38, 114.(b) Reed, MA; Tour. Sci. Am, 2000. 282: p. 86.
    2. Moore, G., Excerpts from a conversation with Gordon Moore: Moore’s Law. Video Transcript, Intel, 2005. 54.
    3. Templeton, G., What is Moore’s Law. Article, Available online: extremetech. com/extreme/210872-extremetech-explains-what-ismoores-law, 2015.
    4. Taur, Y. and T.H. Ning, Fundamentals of modern VLSI devices. 2013: Cambridge university press.
    5. Dennard, R.H., et al., Design of ion-implanted MOSFET's with very small physical dimensions. IEEE Journal of Solid-State Circuits, 1974. 9(5): p. 256-268.
    6. Kumar, M., Effects of Scaling on MOS Device Performance. IOSR Journal of VLSI and Signal Processing, 2015. 5(1): p. 25-28.
    7. Song, Y., H. Zhou, and Q. Xu, Source/drain technologies for the scaling of nanoscale CMOS device. Solid State Sciences, 2011. 13(2): p. 294-305.
    8. Bohr, M.T., et al., The high-k solution. IEEE spectrum, 2007. 44(10): p. 29-35.
    9. Brunco, D., et al., Germanium MOSFET devices: Advances in materials understanding, process development, and electrical performance. Journal of The Electrochemical Society, 2008. 155(7): p. H552-H561.
    10. Brotzmann, S. and H. Bracht, Intrinsic and extrinsic diffusion of phosphorus, arsenic, and antimony in germanium. Journal of Applied Physics, 2008. 103(3): p. 033508.
    11. Chui, C.O., et al., Activation and diffusion studies of ion-implanted p and n dopants in germanium. Applied Physics Letters, 2003. 83(16): p. 3275-3277.
    12. Satta, A., et al., Diffusion, activation, and recrystallization of boron implanted in preamorphized and crystalline germanium. Applied Physics Letters, 2005. 87(17): p. 172109.
    13. Dimoulas, A., et al., Fermi-level pinning and charge neutrality level in germanium. Applied Physics Letters, 2006. 89(25): p. 252110.
    14. Nishimura, T., K. Kita, and A. Toriumi, Evidence for strong Fermi-level pinning due to metal-induced gap states at metal/germanium interface. Applied Physics Letters, 2007. 91(12): p. 123123.
    15. Gomez, L., P. Hashemi, and J.L. Hoyt, Enhanced hole transport in short-channel strained-SiGe p-MOSFETs. IEEE Transactions on Electron Devices, 2009. 56(11): p. 2644-2651.
    16. Thompson, S., et al. A 90 nm logic technology featuring 50 nm strained silicon channel transistors, 7 layers of Cu interconnects, low k ILD, and 1/spl mu/m/sup 2/SRAM cell. in Electron Devices Meeting, 2002. IEDM'02. International. 2002. IEEE.
    17. Uchida, M., Y. Kamakura, and K. Taniguchi. Performance enhancement of pMOSFETs depending on strain, channel direction, and material. in Simulation of Semiconductor Processes and Devices, 2005. SISPAD 2005. International Conference on. 2005. IEEE.
    18. Nayfeh, H.M., et al. Hole transport in nanoscale p-type MOSFET SOI devices with high strain. in Proc. Device Res. Conf. 2007.
    19. Khakifirooz, A. and D.A. Antoniadis. Transistor performance scaling: The role of virtual source velocity and its mobility dependence. in Electron Devices Meeting, 2006. IEDM'06. International. 2006. IEEE.
    20. Antoniadis, D.A. and A. Khakifirooz. MOSFET performance scaling: Limitations and future options. in Electron Devices Meeting, 2008. IEDM 2008. IEEE International. 2008. IEEE.
    21. Krishnamohan, T., et al. Low defect ultra-thin fully strained-Ge MOSFET on relaxed Si with high mobility and low band-to-band-tunneling (BTBT). in VLSI Technology, 2005. Digest of Technical Papers. 2005 Symposium on. 2005. IEEE.
    22. Lee, M.L., et al., Strained Si, SiGe, and Ge channels for high-mobility metal-oxide-semiconductor field-effect transistors. Journal of Applied Physics, 2005. 97(1): p. 1.
    23. Nicholas, G., et al., High-performance deep submicron Ge pMOSFETs with halo implants. IEEE Transactions on Electron Devices, 2007. 54(9): p. 2503-2511.
    24. Weber, O., et al. Strained Si and Ge MOSFETs with high-k/metal gate stack for high mobility dual channel CMOS. in Electron Devices Meeting, 2005. IEDM Technical Digest. IEEE International. 2005. IEEE.
    25. Yamamoto, T., et al. High performance 60 nm gate length germanium p-MOSFETs with Ni germanide metal source/drain. in Electron Devices Meeting, 2007. IEDM 2007. IEEE International. 2007. IEEE.
    26. Bedell, S.W., et al., Mobility scaling in short-channel length strained Ge-on-insulator P-MOSFETs. IEEE Electron Device Letters, 2008. 29(7): p. 811-813.
    27. Ní Chléirigh, C., et al., Super critical thickness SiGe-channel heterostructure p-type metal-oxide-semiconductor field-effect transistors using laser spike annealing. Journal of Applied Physics, 2008. 103(10): p. 104501.
    28. Yeo, Y.-C., et al. Enhanced performance in sub-100 nm CMOSFETs using strained epitaxial silicon-germanium. in Electron Devices Meeting, 2000. IEDM'00. Technical Digest. International. 2000. IEEE.
    29. Shi, Z., et al., Hole mobility enhancement and Si cap optimization in nanoscale strained Si 1− x Ge x PMOSFETs. Solid-state electronics, 2004. 48(12): p. 2299-2306.
    30. Andrieu, F., et al. Co-integrated dual strained channels on fully depleted sSDOI CMOSFETs with HfO/sub 2//TiN gate stack down to 15nm gate length. in SOI Conference, 2005. Proceedings. 2005 IEEE International. 2005. IEEE.
    31. Rauter, P., et al., Tensile strained SiGe quantum well infrared photodetectors based on a light-hole ground state. Applied physics letters, 2011. 98(21): p. 211106.
    32. Buca, D., et al., Fast time response from Si–SiGe undulating layer superlattices. Applied physics letters, 2002. 80(22): p. 4172-4174.
    33. Winnerl, S., et al., Fast IR Si/SiGe superlattice MSM photodetectors with buried CoSi 2 contacts. Microelectronic engineering, 2002. 64(1): p. 205-209.
    34. Minamisawa, R., et al., p-type ion implantation in tensile Si/compressive Si0. 5Ge0. 5/tensile strained Si heterostructures. Journal of The Electrochemical Society, 2011. 159(1): p. H44-H51.
    35. Vogler, D., The Roadmap to 5nm: Convergence of Many Solutions Needed. SEMICON West, 2015.
    36. Luong, G., et al., Study of dopant activation in biaxially compressively strained SiGe layers using excimer laser annealing. Journal of Applied Physics, 2013. 113(20): p. 204902.
    37. Holländer, B., et al., Strain relaxation of pseudomorphic Si 1− x Ge x∕ Si (100) heterostructures after Si+ ion implantation. Journal of applied physics, 2004. 96(3): p. 1745-1747.
    38. Buca, D., et al., Si+ ion implantation for strain relaxation of pseudomorphic Si 1− x Ge x/Si (100) heterostructures. Journal of applied physics, 2009. 105(11): p. 114905.
    39. Sundaresan, S.G., et al., Comparison of solid-state microwave annealing with conventional furnace annealing of ion-implanted SiC. Journal of electronic materials, 2007. 36(4): p. 324-331.
    40. Lee, Y.-J. Dopant activation by microwave anneal. in Junction Technology (IWJT), 2011 11th International Workshop on. 2011. IEEE.
    41. Lee, Y.-J., et al., Dopant activation in single-crystalline germanium by low-temperature microwave annealing. IEEE Electron Device Letters, 2011. 32(2): p. 194-196.
    42. Camillo-Castillo, R.A., Boron activation and diffusion in silicon for varying initial process conditions during flash-assist rapid thermal annealing. 2006, University of Florida.
    43. Jones, K.S., S. Prussin, and E. Weber, A systematic analysis of defects in ion-implanted silicon. Applied Physics A: Materials Science & Processing, 1988. 45(1): p. 1-34.
    44. Tu, K., et al., X‐ray topographic determination of the absence of lateral strains in ion‐implanted silicon. Journal of Applied Physics, 1972. 43(10): p. 4262-4263.
    45. Brodsky, M., RS Title, K. Weiser, and GD Pettit. Phys. Rev. B, 1970. 1(6): p. 2632.
    46. Dearnaley, G., Ion implantation. Vol. 8. 1973: North-Holland Publishing Company.
    47. Csepregi, L., J. Mayer, and T. Sigmon, Chaneling effect measurements of the recrystallization of amorphous Si layers on crystal Si. Physics Letters A, 1975. 54(2): p. 157-158.
    48. Williams, J.S. and J.M. Poate, Ion implantation and beam processing. 2014: Academic Press.
    49. Ziegler, J., High energy ion implantation. Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, 1985. 6(1-2): p. 270-282.
    50. Shiryaev, S.Y. and A.N. Larsen, High-dose mixed Ga/As and Ga/P ion implantations in silicon single crystals. Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, 1993. 80: p. 846-850.
    51. Timans, P., R. McMahon, and H. Ahmed. Time Resolved Reflectivity Measurements Applied to Rapid Isothermal Annealing of Ion Implanted Silicon. in MRS Proceedings. 1985. Cambridge Univ Press.
    52. Timans, P., R. McMahon, and H. Ahmed. Regrowth Rates of Amorphous Layers in Silicon-on-Sapphire Films. in MRS Proceedings. 1985. Cambridge Univ Press.
    53. Elliman, R., et al. Ion Beam Induced Amorphization and Crystallization Processes in Silicon and GaAs. in MRS Proceedings. 1986. Cambridge Univ Press.
    54. Elliman, R., et al., Ion-beam-induced crystallization and amorphization of silicon. Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, 1987. 19: p. 435-442.
    55. Olson, G. Kinetics and Mechanisms of Solid Phase Epitaxy and Competitive Processes in Silicon. in MRS Proceedings. 1984. Cambridge Univ Press.
    56. Olson, G., et al. Effects of Impurities on the Competition between Solid Phase Epitaxy and Random Crystallization In Ion-Implanted Silicon. in MRS Proceedings. 1984. Cambridge Univ Press.
    57. Leitz, C.W., High mobility strained Si/SiGe heterostructure MOSFETs: channel engineering and virtual substrate optimization. 2002, Massachusetts Institute of Technology.
    58. Park, J., Y.-J. Huh, and H. Hwang, Comparison of ultralow-energy ion implantation of boron and BF 2 for ultrashallow p+/n junction formation. Applied physics letters, 1999. 74(9): p. 1248-1250.
    59. Impellizzeri, G., et al., Fluorine counter doping effect in B-doped Si. Applied Physics Letters, 2007. 91(13): p. 132101.
    60. Madou, M.J., Fundamentals of microfabrication: the science of miniaturization. 2002: CRC press.
    61. Thostenson, E. and T.-W. Chou, Microwave processing: fundamentals and applications. Composites Part A: Applied Science and Manufacturing, 1999. 30(9): p. 1055-1071.
    62. Metaxas, A. Foundations of electroheat. A unified approach. in Fuel and Energy Abstracts. 1996. Elsevier.
    63. Chen, S.J., C. Chen, and Y.S. Hong, Theoretical study of weakly bound dimers between hydrogen fluoride and some polar molecules. Journal of the Chinese Chemical Society, 2006. 53(4): p. 783-792.
    64. Stuerga, D., Microwave-material interactions and dielectric properties, key ingredients for mastery of chemical microwave processes. Microwaves in Organic Synthesis (Loupy A, ed). 2nd ed. Weinheim, Germany: Wiley-VCH Verlag Gmbh & Co. KgaA, 2006: p. 1-61.
    65. Fröhlich, H., Theory of dielectrics. 1949.
    66. Raju, G.G., Dielectrics in electric fields. 2016: CRC press.
    67. Wathey, B., et al., The impact of microwave-assisted organic chemistry on drug discovery. Drug Discovery Today, 2002. 7(6): p. 373-380.
    68. Bilecka, I. and M. Niederberger, Microwave chemistry for inorganic nanomaterials synthesis. Nanoscale, 2010. 2(8): p. 1358-1374.
    69. Ioannou, N., et al., Germanium substrate loss during low temperature annealing and its influence on ion-implanted phosphorous dose loss. Applied Physics Letters, 2008. 93(10): p. 101910.
    70. Chen, H., et al., Crosshatching on a SiGe film grown on a Si (001) substrate studied by Raman mapping and atomic force microscopy. Physical Review B, 2002. 65(23): p. 233303.

    下載圖示 校內:2022-08-01公開
    校外:2022-08-01公開
    QR CODE