簡易檢索 / 詳目顯示

研究生: 張書維
Chang, Shu-Wei
論文名稱: 以低溫製程之堆疊式互補場效電晶體整合多種功能元件與材料於一體化三維積體電路
Integrating Various Functional Devices and Materials with Low-Fabrication Temperature Complementary Field Effect Transistor in Monolithic Three-Dimensional Integrated Circuits
指導教授: 李文熙
Lee, Wen-Hsi
共同指導教授: 李耀仁
Lee, Yao-Jen
學位類別: 博士
Doctor
系所名稱: 電機資訊學院 - 電機工程學系
Department of Electrical Engineering
論文出版年: 2024
畢業學年度: 112
語文別: 英文
論文頁數: 226
中文關鍵詞: 堆疊式互補場效電晶體無接面電晶體靜態隨機存取記憶體嵌入式快閃記憶體射頻元件異質堆疊式互補場效電晶體氧化物半導體一體化三維積體電路單晶片系統系統級面板
外文關鍵詞: Complementary Field Effect Transistor, Junctionless Transistor, Static Random-Access Memory, Embedded Flash, Radio Frequency Integrated Circuit, Hybrid CFET, Oxide Semiconductor, Monolithic 3D-IC, System on a Chip, System on Panel
相關次數: 點閱:141下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 近年來隨著高速運算以及人工智能的需求,除了推進演算法,對於硬體元件的設計與開發需求更是蒸蒸日上,為了在這個逼近摩爾定律極限的時代持續的微縮晶片體積,人們開始不僅在單一元件大量的採用堆疊式結構,如堆疊式奈米薄片、奈米線以及堆疊式互補場效電晶體,也在不同功能晶片間使用堆疊的方式節省面積以及減少訊號延遲,從而實現系統單晶片系統,目前大部分的系統單晶面都是採納矽穿孔以及黏晶技術來垂直整合不同功能的晶片,如邏輯閘、記憶體及射頻元件等等,為了持續增加元件積集度、減少延遲與節省成本,一體化製程三維積體電路被視為非常具有潛力的一項技術,其概念為取代黏晶以及矽穿孔技術,直接在單晶邏輯閘最高密度的第一層以上進行後段的各項元件製作,此論文即為專注以堆疊式互補場效電晶體為基礎概念開發各項重要元件,包含反相器、靜態隨機存取記憶體、嵌入式快閃記憶體與射頻元件於後段低溫製程中。
    通篇論文以兩種堆疊式互補場效電晶體結構為主軸,首先是共閘極無接面全包覆式堆疊奈米薄片互補場效電晶體,以該結構實現之反相器為全球首顆實證的堆疊式反相器,在文中我們詳細的討論的各項重要參數對單一元件表現及轉移特性曲線的影響,包含了通道尺寸、通道個數、離子佈植條件、汲極源極厚度與金屬後處理,本論文已提出了以該結構為原型製作嵌入式快閃記憶體與射頻元件的製程,並以TCAD模擬其行為並優化符合不同需求之特性。第二種結構則是異質通道材料整合之堆疊式互補場效電晶體,其亦為全球首顆實證之異質堆疊式反相器,本研究以該結構整合N型非晶質氧化銦鎵鋅於P型多晶矽電晶體之上,其結構特性為將上下層通道的介面以及堆疊閘極因應其自身需求分別處理,同時能免除上層通道移除下方犧牲層後坍塌與被破壞的可能性,本研究亦針對結構中不同參數討論其對單一元件表現及轉移特性曲線的影響,而且因為其閘極結構的特殊性,其至多可以擁有三個閘極,一個控制閘極主導整體反相器操作,其他二者調變閘極分別能改變上下層電晶體的電流大小與臨界電壓達到針對不同操作需求可優化的彈性,本論文只演示了控制閘極以及上層調變閘極,並以靜態隨機存取記憶體來演示調變閘極的功能。在製作該異質堆疊式反相器的同時,有著P型多晶矽保護環的近閘極全包覆式N型非晶質氧化銦鎵射頻元件亦能被製作於同一基板上,本研究詳盡地討論了結構對電容以及頻率的影響,並製作出超過1 GHz的氧化物電晶體射頻元件。
    本論文為所有製程皆低於600 ℃,表示所有元件都符合低溫製程的熱預算需求,對後續製作堆疊於後段製程之元件非常有利,可視為實現一體化製程單晶片系統及系統級面板的潛力選項,並提供未來製程以及元件種類的多元性及可調性。

    In recent years, with the demand for high-speed computing (HPC) and artificial intelligence (AI), besides advancing algorithms, there has been a growing need for the design and development of hardware components. In order to continue shrinking chip sizes in this era approaching the limits of Moore's Law, people have begun not only adopting stacked structures in large quantities for individual devices, such as stacked nanosheets, nanowires, and complementary field-effect transistors (CFET), but also bonding different functional chips to save area and reduce latency, thereby achieving system on a chip (SoC). Currently, most SoC designs adopt through-silicon via (TSV) and bonding techniques to vertically integrate different functional chips, such as logic gates, memory, and radio frequency devices. For further increasing device density, reducing latency, and saving costs, monolithic three-dimensional integrated circuits (M3D-IC) are regarded as a highly promising technology. The concept is to replace TSV and bonding technologies, and directly fabricate various functional devices above the highest-density logic gates in the first layer with a backend low-temperature process. This paper focuses on developing various important devices based on the concept of CFET, including inverters, static random-access memories (SRAMs), embedded flash memories (eFlash), and radio frequency (RF) devices in the backend with low-temperature process.
    The entire thesis revolves two main structures of CFET. Firstly, it introduces the common gate junctionless gate-all-around stacked nanosheet CFET (JL-GAA-NS CFET, also called as JL-CFET in the thesis). The inverter implemented by this structure is the first demonstrated stacked inverter. In the dissertation, we extensively discuss the effects of various important parameters on the performance of individual devices and transfer characteristic curves (VTC), including channel dimensions, fin number, ion implantation conditions, source/drain thickness, and post-metallization treatment. The thesis proposes the processes for fabricating embedded flash memories and radio frequency devices based on this structure and optimizes their behaviors through TCAD simulation to meet different requirements. The second structure involves hybrid CFET, also the first demonstrated hybrid stacked inverter. This study integrates n-type amorphous indium-gallium-zinc oxide (α-IGZO) on top of p-type polycrystalline silicon transistors. The structural characteristics involve treating the interfaces of the upper and lower channel layers and gate stack according to their respective requirements, thereby avoiding the collapse and damage possibilities after removing the sacrificial layer beneath the upper channel. The study also discusses the effects of different parameters on the performance of individual devices and VTC. Due to the special structure of its gate, it can have up to three gates, with one controlled gate dominating the operation of the overall inverter, and the other two adjusted gates respectively changing the current and threshold voltage of the upper and lower transistors to achieve flexible optimization for different operational requirements. The dissertation only demonstrates the controlled gate and upper adjusted gate, using SRAM to illustrate the function of the adjusted gate. Meanwhile, while fabricating the hybrid CFET, GAA-like n-type α-IGZO RF devices with n-type polycrystalline silicon guard rings can also be fabricated on the same substrate. This study systematically discusses the effects of the structure on capacitance and frequency and demonstrated oxide semiconductor RF devices over 1 GHz.
    This thesis ensures that all processes are below 600 ℃, indicating that all devices meet the thermal budget requirements of low-temperature processes. This is highly advantageous for the subsequent fabrication of devices in the backend process, making it a potential option for realizing SoC and system-on-panel (SoP). It also provides versatility and tunability for future processes and devices.

    摘要 I Abstract III Acknowledgement VI Content X List of Tables XIV List of Figures XV List of Equations XXIX List of Abbreviations XXX List of Symbols XXXVI Chapter I. General Introduction and Background 1 1.1 The evolution of semiconductor industry 1 1.2 Vertically stacked channel transistor 7 1.3 Complementary Field Effect Transistor (CFET) 11 1.4 Monolithic Three-Dimension Integrated Circuit (M3D-IC) 17 1.5 Motivation 21 1.6 Organization of the thesis 23 Chapter II. Techniques, concepts, theoretical considerations, and Parameter Extraction 27 2.1 Polycrystalline silicon 27 2.2 Indium Gallium Zinc Oxide Thin Film Transistors (IGZO TFTs) 30 2.3 Junctionless transistor (JLT) 37 2.4 Complementary Metal Oxide Semiconductor (CMOS) inverter 41 2.5 Static Random-Access Memory (SRAM) 43 2.6 Radio Frequency Integrated Circuit (RFIC) 47 2.7 Electrically Erasable Programmable Read Only Memory (EEPROM) 50 2.8 Ultra-Thin Body (UTB) Transistor 53 2.9 Transfer Length Method (TLM) 54 2.10 Methods of Device Parameter Extraction 56 2.10.1 Transconductance (gm) 56 2.10.2 Threshold Voltage (VTH) 56 2.10.3 On-state current (Ion) 56 2.10.4 Off-state current or leakage current (Ioff) 57 2.10.5 On/Off Current Ratio (Ion/Ioff) 57 2.10.6 Subthreshold Swing (S.S.) 58 Chapter III. Common gate junctionless polycrystalline silicon nanosheet complementary field effect transistor (JL-CFET) 59 3.1 Introduction 59 3.2 Fabrication process 61 3.3 Results and Discussion 67 3.3.1 Channel Width (WCH) 70 3.3.2 Fin Number (NFin) 74 3.3.3 Raised Source/Drain and Doping Concentration 76 3.3.4 Post-Metallization Treatment (PMT) 79 3.3.5 6T-SRAM 83 3.4 Summary 84 Chapter IV. The peripheral devices based on JL-CFET architecture 85 4.1 CFET-based radio frequency device (RF CFET) 85 4.1.1 Simulation Setup and Device Structure 86 4.1.2 Results and Discussions 88 4.1.2.1 Channel Width (WCH) 88 4.1.2.2 Released Channel Width (WR) 89 4.1.2.3 Sacrificial Oxide Thickness (TS) 90 4.1.2.4 Source/Drain Thickness (TSD) 91 4.1.2.5 Overall Optimization 92 4.1.3 Summary 93 4.2 Logic Non-Volatile Memory (Logic NVM) 94 4.2.1 Simulation Setup and Physics Assumptions 95 4.2.2 Results and Discussions 98 4.2.2.1 Logic NVM Functionality 98 4.2.2.2 Impact of Bottom Nanosheet Width 100 4.2.2.3 Impact of Floating Gate Length 102 4.2.2.4 Impacts of Tunneling and Blocking Oxide Thickness 103 4.2.2.5 Optimal CFET Logic NVM Device Design 106 4.2.2.6 NAND/NOR Type Memory Arrays 108 4.2.3 Summary 112 Chapter V. Hybrid IGZO-Si CFET integration 114 5.1 Introduction 114 5.2 Experiment 116 5.3 Results and Discussion 119 5.3.1 Bottom polycrystalline silicon pFET in Dual-Work-Function-Gate Hybrid CFET 119 5.3.2 Top IGZO NFET in Dual-Work-Function-Gate Hybrid CFET 123 5.3.3 Dual-Work-Function-Gate Hybrid CFET (DWFG-HCFET) 127 5.3.4 6T-SRAM simulation with dynamic VTH adjustment 133 5.4 Summary 138 Chapter VI. IGZO high-frequency device 140 6.1 Introduction 140 6.2 Experiment 141 6.3 Results and Discussion 142 6.3.1 Double Gate Length (LDG) 144 6.3.2 Defined Top/Bottom Gate Length (LTG/LBG) 147 6.3.3 Source/Drain Distance (DSD) 151 6.3.4 Channel Design 154 6.3.5 Benchmark 156 6.4 Summary 157 Chapter VII. Conclusion 158 Chapter VIII. Future work 161 8.1 More stacked channel 161 8.2 Integrating various materials in a CFET 162 8.3 Stacked GAA α-IGZO transistor 163 Reference 164 List of publications 184 Journal 184 Conference 186

    [1] R. Semiconductor, "ROHM Develops Ultra-Low-Power On-Device Learning Edge AI Chip AI at the edge enables real-time failure prediction without cloud server required."
    [2] T. B., "The Intersection of Moore's Law and GPU Performance: Navigating Technological Limits," Linkedln.
    [3] K. A. Perry, "Chemical mechanical polishing: the impact of a new technology on an industry," in 1998 Symposium on VLSI Technology Digest of Technical Papers (Cat. No.98CH36216), 1998, pp. 2-5, doi: 10.1109/VLSIT.1998.689177.
    [4] T. Ghani et al., "A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors," in IEEE International Electron Devices Meeting 2003, 2003, pp. 11.6.1-11.6.3, doi: 10.1109/IEDM.2003.1269442.
    [5] M. D. Giles et al., "Understanding stress enhanced performance in Intel 90nm CMOS technology," in Digest of Technical Papers. 2004 Symposium on VLSI Technology, 2004., 2004, pp. 118-119, doi: 10.1109/VLSIT.2004.1345427.
    [6] M. Chudzik et al., "High-performance high-κ/metal gates for 45nm CMOS and beyond with gate-first processing," in 2007 IEEE Symposium on VLSI Technology, 2007, pp. 194-195, doi: 10.1109/VLSIT.2007.4339689.
    [7] S. Mayuzumi et al., "Extreme High-Performance n- and p-MOSFETs Boosted by Dual-Metal/High-k Gate Damascene Process using Top-Cut Dual Stress Liners on (100) Substrates," in 2007 IEEE International Electron Devices Meeting, 2007, pp. 293-296, doi: 10.1109/IEDM.2007.4418926.
    [8] M. T. Bohr, R. S. Chau, T. Ghani, and K. Mistry, "The High-k Solution," IEEE Spectrum, vol. 44, no. 10, pp. 29-35, 2007, doi: 10.1109/MSPEC.2007.4337663.
    [9] S. Natarajan et al., "A 32nm logic technology featuring 2nd-generation high-k + metal-gate transistors, enhanced channel strain and 0.171μm2 SRAM cell size in a 291Mb array," in 2008 IEEE International Electron Devices Meeting, 2008, pp. 1-3, doi: 10.1109/IEDM.2008.4796777.
    [10] C. Auth et al., "45nm High-k + metal gate strain-enhanced transistors," in 2008 Symposium on VLSI Technology, 2008, pp. 128-129, doi: 10.1109/VLSIT.2008.4588589.
    [11] C. Auth, "22-nm fully-depleted tri-gate CMOS transistors," in Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012, pp. 1-6, doi: 10.1109/CICC.2012.6330657.
    [12] M. Jurczak, N. Collaert, A. Veloso, T. Hoffmann, and S. Biesemans, "Review of FINFET technology," in 2009 IEEE International SOI Conference, 2009, pp. 1-4, doi: 10.1109/SOI.2009.5318794.
    [13] J. Hruska, "Cedar Trail benchmarks shed light on Intel's 32nm Atom performance," 2011.
    [14] R. S. Pal, S. Sharma, and S. Dasgupta, "Recent trend of FinFET devices and its challenges: A review," in 2017 Conference on Emerging Devices and Smart Systems (ICEDSS), 2017, pp. 150-154, doi: 10.1109/ICEDSS.2017.8073675.
    [15] N. Singh et al., "High-performance fully depleted silicon nanowire (diameter ≤ 5 nm) gate-all-around CMOS devices," IEEE Electron Device Letters, vol. 27, no. 5, pp. 383-386, 2006, doi: 10.1109/LED.2006.873381.
    [16] Y. Cui, Z. Zhong, D. Wang, W. U. Wang, and C. M. Lieber, "High performance silicon nanowire field effect transistors," Nano letters, vol. 3, no. 2, pp. 149-152, 2003.
    [17] S. Monfray et al., "50 nm-Gate All Around (GAA)-Silicon On Nothing (SON)-devices: a simple way to co-integration of GAA transistors within bulk MOSFET process," in 2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303), 2002, pp. 108-109, doi: 10.1109/VLSIT.2002.1015411.
    [18] K. H. Yeo et al., "Gate-All-Around (GAA) Twin Silicon Nanowire MOSFET (TSNWFET) with 15 nm Length Gate and 4 nm Radius Nanowires," in 2006 International Electron Devices Meeting, 2006, pp. 1-4, doi: 10.1109/IEDM.2006.346838.
    [19] H. Mertens et al., "Vertically stacked gate-all-around Si nanowire transistors: Key Process Optimizations and Ring Oscillator Demonstration," in 2017 IEEE International Electron Devices Meeting (IEDM), 2017, pp. 37.4.1-37.4.4, doi: 10.1109/IEDM.2017.8268511.
    [20] S. Barraud et al., "7-Levels-Stacked Nanosheet GAA Transistors for High Performance Computing," in 2020 IEEE Symposium on VLSI Technology, 2020, pp. 1-2, doi: 10.1109/VLSITechnology18217.2020.9265025.
    [21] J. Zhang et al., "High-k metal gate fundamental learning and multi-VT options for stacked nanosheet gate-all-around transistor," in 2017 IEEE International Electron Devices Meeting (IEDM), 2017, pp. 22.1.1-22.1.4, doi: 10.1109/IEDM.2017.8268438.
    [22] L. Burn Jeng, "Semiconductor foundry, lithography, and partners," in Proc.SPIE, 2002, vol. 4688, pp. 11-24, doi: 10.1117/12.472292.
    [23] B. Wu and A. Kumar, "Extreme ultraviolet lithography and three dimensional integrated circuit—A review," Applied Physics Reviews, vol. 1, no. 1, 2014.
    [24] N. Horiguchi and E. Beyne, "Backside power delivery," IMEC, 2022.11.25.
    [25] C. Dubois, "Intel Reveals Plans for a Trillion-transistor Processor by 2030," 2022.12.12.
    [26] M. W. M. Graef, "More Than Moore White Paper," 2021 IEEE International Roadmap for Devices and Systems Outbriefs, pp. 1-47, 2021.
    [27] C. Yang-Kyu et al., "Sub-20 nm CMOS FinFET technologies," in International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224), 2001, pp. 19.1.1-19.1.4, doi: 10.1109/IEDM.2001.979526.
    [28] J. Lin, "Advancement and Challenges of Field Effect Transistors based on Multi-gate Transistor," in Journal of Physics: Conference Series, 2022, vol. 2370, no. 1: IOP Publishing, p. 012004.
    [29] C. Dupre et al., "15nm-diameter 3D stacked nanowires with independent gates operation: ΦFET," in 2008 IEEE International Electron Devices Meeting, 2008, pp. 1-4, doi: 10.1109/IEDM.2008.4796805.
    [30] F. Marty et al., "Advanced etching of silicon based on deep reactive ion etching for silicon high aspect ratio microstructures and three-dimensional micro-and nanostructures," Microelectronics journal, vol. 36, no. 7, pp. 673-677, 2005.
    [31] F. Laerme, A. Schilp, K. Funk, and M. Offenberg, "Bosch deep silicon etching: improving uniformity and etch rate for advanced MEMS applications," in Technical Digest. IEEE International MEMS 99 Conference. Twelfth IEEE International Conference on Micro Electro Mechanical Systems (Cat. No.99CH36291), 1999, pp. 211-216, doi: 10.1109/MEMSYS.1999.746812.
    [32] R. Abdolvand and F. Ayazi, "An advanced reactive ion etching process for very high aspect-ratio sub-micron wide trenches in silicon," Sensors and Actuators A: Physical, vol. 144, no. 1, pp. 109-116, 2008.
    [33] B. Wu, A. Kumar, and S. Pamarthy, "High aspect ratio silicon etch: A review," Journal of applied physics, vol. 108, no. 5, 2010.
    [34] H. Mertens et al., "Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates," in 2016 IEEE Symposium on VLSI Technology, 2016, pp. 1-2, doi: 10.1109/VLSIT.2016.7573416.
    [35] R. M. Y. Ng, T. Wang, F. Liu, X. Zuo, J. He, and M. Chan, "Vertically Stacked Silicon Nanowire Transistors Fabricated by Inductive Plasma Etching and Stress-Limited Oxidation," IEEE Electron Device Letters, vol. 30, no. 5, pp. 520-522, 2009, doi: 10.1109/LED.2009.2014975.
    [36] D. I. Moon, S. J. Choi, J. P. Duarte, and Y. K. Choi, "Investigation of Silicon Nanowire Gate-All-Around Junctionless Transistors Built on a Bulk Substrate," IEEE Transactions on Electron Devices, vol. 60, no. 4, pp. 1355-1360, 2013, doi: 10.1109/TED.2013.2247763.
    [37] Y.-S. Huang et al., "First Demonstration of Uniform 4-Stacked Ge0.9Sn0.1 Nanosheets with Record ION= 73μA at VOV= VDS=-0.5 V and Low Noise Using Double Ge0.95Sn0.05 Caps, Dry Etch, Low Channel Doping, and High S/D Doping," in 2020 IEEE International Electron Devices Meeting (IEDM), 2020: IEEE, pp. 2.4. 1-2.4. 4.
    [38] C. Y. Cheng et al., "6 Stacked Ge0.95Si0.05 nGAAFETs without Parasitic Channels by Wet Etching," in 2022 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), 2022, pp. 1-2, doi: 10.1109/VLSI-TSA54299.2022.9770969.
    [39] C. E. Tsai et al., "Highly Stacked 8 Ge0.9Sn0.1 Nanosheet pFETs with Ultrathin Bodies (~3nm) and Thick Bodies (~30nm) Featuring the Respective Record ION/IOFF of 1.4x107 and Record ION of 92μA at Vov=VDS=-0.5V by CVD Epitaxy and Dry Etching," in 2021 IEEE International Electron Devices Meeting (IEDM), 2021, pp. 26.4.1-26.4.4, doi: 10.1109/IEDM19574.2021.9720660.
    [40] C. C. C. Chung, C. H. Shen, J. Y. Lin, C. C. Chin, and T. S. Chao, "Vertically Stacked Cantilever n-Type Poly-Si Junctionless Nanowire Transistor and Its Series Resistance Limit," IEEE Transactions on Electron Devices, vol. 65, no. 2, pp. 756-762, 2018, doi: 10.1109/TED.2017.2780851.
    [41] N. Loubet et al., "Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET," in 2017 Symposium on VLSI Technology, 2017, pp. T230-T231, doi: 10.23919/VLSIT.2017.7998183.
    [42] P. Weckx et al., "Novel forksheet device architecture as ultimate logic scaling device towards 2nm," in 2019 IEEE International Electron Devices Meeting (IEDM), 2019, pp. 36.5.1-36.5.4, doi: 10.1109/IEDM19573.2019.8993635.
    [43] H. Mertens et al., "Forksheet FETs for Advanced CMOS Scaling: Forksheet-Nanosheet Co-Integration and Dual Work Function Metal Gates at 17nm N-P Space," in 2021 Symposium on VLSI Technology, 2021, pp. 1-2.
    [44] M. K. Gupta et al., "A Comprehensive Study of Nanosheet and Forksheet SRAM for Beyond N5 Node," IEEE Transactions on Electron Devices, vol. 68, no. 8, pp. 3819-3825, 2021, doi: 10.1109/TED.2021.3088392.
    [45] W. Xusheng, P. C. H. Chan, Z. Shengdong, F. Chuguang, and M. Chan, "A three-dimensional stacked fin-CMOS technology for high-density ULSI circuits," IEEE Transactions on Electron Devices, vol. 52, no. 9, pp. 1998-2003, 2005, doi: 10.1109/TED.2005.854267.
    [46] P. Batude et al., "Advances, challenges and opportunities in 3D CMOS sequential integration," in 2011 International Electron Devices Meeting, 2011, pp. 7.3.1-7.3.4, doi: 10.1109/IEDM.2011.6131506.
    [47] J. Ryckaert et al., "The Complementary FET (CFET) for CMOS scaling beyond N3," in 2018 IEEE Symposium on VLSI Technology, 2018, pp. 141-142, doi: 10.1109/VLSIT.2018.8510618.
    [48] J. Ryckaert et al., "Enabling Sub-5nm CMOS Technology Scaling Thinner and Taller!," in 2019 IEEE International Electron Devices Meeting (IEDM), 2019, pp. 29.4.1-29.4.4, doi: 10.1109/IEDM19573.2019.8993631.
    [49] A. Fischer, D. Mui, A. Routzahn, R. Gasvoda, J. Sims, and T. Lill, "Surface reaction modelling of thermal atomic layer etching on blanket hafnium oxide and its application on high aspect ratio structures," Journal of Vacuum Science & Technology A, vol. 41, no. 1, 2023.
    [50] G. Kokkoris, A. Tserepi, and E. Gogolides, "The potential of neutral beams for deep silicon nanostructure etching," Journal of Physics D: Applied Physics, vol. 41, no. 2, p. 024004, 2008, doi: 10.1088/0022-3727/41/2/024004.
    [51] J. Shi et al., "A 14nm FinFET transistor-level 3D partitioning design to enable high-performance and low-cost monolithic 3D IC," in 2016 IEEE International Electron Devices Meeting (IEDM), 2016, pp. 2.5.1-2.5.4, doi: 10.1109/IEDM.2016.7838032.
    [52] P. Batude, T. Ernst, J. Arcamone, G. Arndt, P. Coudrain, and P. E. Gaillardon, "3-D Sequential Integration: A Key Enabling Technology for Heterogeneous Co-Integration of New Function With CMOS," IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 2, no. 4, pp. 714-722, 2012, doi: 10.1109/JETCAS.2012.2223593.
    [53] J. Jeong, "Empowering Platform Technology with Future Semiconductor Device Innovation," in 2023 International Electron Devices Meeting (IEDM), 2023.
    [54] C. Y. Huang et al., "3-D Self-aligned Stacked NMOS-on-PMOS Nanoribbon Transistors for Continued Moore’s Law Scaling," in 2020 IEEE International Electron Devices Meeting (IEDM), 12-18 Dec. 2020 2020, pp. 20.6.1-20.6.4, doi: 10.1109/IEDM13553.2020.9372066.
    [55] S. Subramanian et al., "First Monolithic Integration of 3D Complementary FET (CFET) on 300mm Wafers," in 2020 IEEE Symposium on VLSI Technology, 16-19 June 2020 2020, pp. 1-2, doi: 10.1109/VLSITechnology18217.2020.9265073.
    [56] C.-T. Tu et al., "First Demonstration of Monolithic 3D Self-aligned GeSi Channel and Common Gate Complementary FETs by CVD Epitaxy Using Multiple P/N Junction Isolation," in 2022 International Electron Devices Meeting (IEDM), 2022: IEEE, pp. 20.3. 1-20.3. 4.
    [57] S. Liao et al., "Complementary Field-Effect Transistor (CFET) Demonstration at 48nm Gate Pitch for Future Logic Technology Scaling," in 2023 International Electron Devices Meeting (IEDM), 9-13 Dec. 2023 2023, pp. 1-4, doi: 10.1109/IEDM45741.2023.10413672.
    [58] Y. W. Lin et al., "3-D Self-Aligned Stacked Ge Nanowire pGAAFET on Si nFinFET of Single Gate CFET," IEEE Journal of the Electron Devices Society, vol. 11, pp. 480-484, 2023, doi: 10.1109/JEDS.2023.3309812.
    [59] W. H. Hsieh et al., "Monolithic 3-D Self-Aligned Heterogeneous Nanosheet Channel Complementary FETs With Matched VT by Band Alignments of Individual Channels," IEEE Transactions on Electron Devices, pp. 1-7, 2024, doi: 10.1109/TED.2024.3371946.
    [60] W. Rachmady et al., "300mm heterogeneous 3D integration of record performance layer transfer germanium PMOS with silicon NMOS for low power high performance logic applications," in 2019 IEEE International Electron Devices Meeting (IEDM), 2019: IEEE, pp. 29.7. 1-29.7. 4.
    [61] S. K. Kim et al., "Heterogeneous 3-D Sequential CFETs With Ge (110) Nanosheet p-FETs on Si (100) Bulk n-FETs," IEEE Transactions on Electron Devices, vol. 71, no. 1, pp. 393-399, 2024, doi: 10.1109/TED.2023.3331669.
    [62] H. W. Then et al., "Gallium Nitride and Silicon Transistors on 300 mm Silicon Wafers Enabled by 3-D Monolithic Heterogeneous Integration," IEEE Transactions on Electron Devices, vol. 67, no. 12, pp. 5306-5314, 2020, doi: 10.1109/TED.2020.3034076.
    [63] H. W. Then et al., "3D heterogeneous integration of high performance high-K metal gate GaN NMOS and Si PMOS transistors on 300mm high-resistivity Si substrate for energy-efficient and compact power delivery, RF (5G and beyond) and SoC applications," in 2019 IEEE International Electron Devices Meeting (IEDM), 2019, pp. 17.3.1-17.3.4, doi: 10.1109/IEDM19573.2019.8993583.
    [64] S. K. Kim et al., "Heterogeneous 3D Sequential CFET with Ge (110) Nanosheet p-FET on Si (100) bulk n-FET by Direct Wafer Bonding," in 2022 International Electron Devices Meeting (IEDM), 2022: IEEE, pp. 20.1. 1-20.1. 4.
    [65] N. Horiguchi, "Nanosheet device architectures to enable CMOS scaling in 3nm and beyond: Nanosheet, Forksheet and CFET," in 2021 Symposium on VLSI Technology, 2021.
    [66] M. K. Gupta et al., "The Complementary FET (CFET) 6T-SRAM," IEEE Transactions on Electron Devices, vol. 68, no. 12, pp. 6106-6111, 2021, doi: 10.1109/TED.2021.3121349.
    [67] H.-H. Liu et al., "DTCO of sequential and monolithic CFET SRAM," in DTCO and Computational Patterning II, 2023, vol. 12495: SPIE, pp. 219-225.
    [68] H. H. Liu et al., "CFET SRAM With Double-Sided Interconnect Design and DTCO Benchmark," IEEE Transactions on Electron Devices, vol. 70, no. 10, pp. 5099-5106, 2023, doi: 10.1109/TED.2023.3305322.
    [69] H. H. Liu et al., "CFET SRAM DTCO, Interconnect Guideline, and Benchmark for CMOS Scaling," IEEE Transactions on Electron Devices, vol. 70, no. 3, pp. 883-890, 2023, doi: 10.1109/TED.2023.3235701.
    [70] L. Liebmann, J. Smith, D. Chanemougame, and P. Gutwin, "CFET Design Options, Challenges, and Opportunities for 3D Integration," in 2021 IEEE International Electron Devices Meeting (IEDM), 2021, pp. 3.1.1-3.1.4, doi: 10.1109/IEDM19574.2021.9720577.
    [71] R. Chen et al., "Design and Optimization of SRAM Macro and Logic Using Backside Interconnects at 2nm node," in 2021 IEEE International Electron Devices Meeting (IEDM), 2021, pp. 22.4.1-22.4.4, doi: 10.1109/IEDM19574.2021.9720528.
    [72] T. Kunio, K. Oyama, Y. Hayashi, and M. Morimoto, "Three dimensional ICs, having four stacked active device layers," in International Technical Digest on Electron Devices Meeting, 1989, pp. 837-840, doi: 10.1109/IEDM.1989.74183.
    [73] P. Batude et al., "3D sequential integration opportunities and technology optimization," in IEEE International Interconnect Technology Conference, 2014, pp. 373-376, doi: 10.1109/IITC.2014.6831837.
    [74] "International Roadmap for Devices and Systems (IRDS)," IRDS.
    [75] E. Team, "What is 2D, 2.5D & 3D Packaging of Integrated Chips?," 2023.11.23.
    [76] C. H. Shen et al., "Monolithic 3D chip integrated with 500ns NVM, 3ps logic circuits and SRAM," in 2013 IEEE International Electron Devices Meeting, 2013, pp. 9.3.1-9.3.4, doi: 10.1109/IEDM.2013.6724593.
    [77] R. Merritt, "CEA-Leti: Monolithic 3D is the solution for further scaling," MonolithicIC 3D.
    [78] R. C. Ross and R. Messier, "Microstructure and properties of rf‐sputtered amorphous hydrogenated silicon films," Journal of Applied Physics, vol. 52, no. 8, pp. 5329-5339, 1981, doi: 10.1063/1.329391.
    [79] E. C. Freeman and W. Paul, "Optical constants of rf sputtered hydrogenated amorphous Si," Physical Review B, vol. 20, no. 2, pp. 716-728, 1979, doi: 10.1103/PhysRevB.20.716.
    [80] R. C. Chittick, J. H. Alexander, and H. F. Sterling, "The Preparation and Properties of Amorphous Silicon," Journal of The Electrochemical Society, vol. 116, no. 1, p. 77, 1969, doi: 10.1149/1.2411779.
    [81] W. E. Spear and P. G. Le Comber, "Substitutional doping of amorphous silicon," Solid State Communications, vol. 17, no. 9, pp. 1193-1196, 1975, doi: 10.1016/0038-1098(75)90284-7.
    [82] T. D. Moustakas, "Sputtered hydrogenated amorphous silicon," Journal of Electronic Materials, vol. 8, no. 3, pp. 391-435, 1979, doi: 10.1007/BF02655635.
    [83] K. Mui, D. K. Basa, F. W. Smith, and R. Corderman, "Optical constants of a series of amorphous hydrogenated silicon-carbon alloy films: Dependence of optical response on film microstructure and evidence for homogeneous chemical ordering," Physical Review B, vol. 35, no. 15, pp. 8089-8102, 1987, doi: 10.1103/PhysRevB.35.8089.
    [84] W. T. Pawlewicz, "Influence of deposition conditions on sputter‐deposited amorphous silicon," Journal of Applied Physics, vol. 49, no. 11, pp. 5595-5601, 1978, doi: 10.1063/1.324481.
    [85] A. Nuruddin, J. R. Doyle, and J. R. Abelson, "Surface reaction probability in hydrogenated amorphous silicon growth," Journal of Applied Physics, vol. 76, no. 5, pp. 3123-3129, 1994, doi: 10.1063/1.357494.
    [86] G. Harbeke, L. Krausbauer, E. F. Steigmeier, A. E. Widmer, H. F. Kappert, and G. Neugebauer, "Growth and Physical Properties of LPCVD Polycrystalline Silicon Films," Journal of The Electrochemical Society, vol. 131, no. 3, p. 675, 1984, doi: 10.1149/1.2115672.
    [87] M.-H. Yang, "IGZO Materials and Devices: Characteristics and Fundamentals."
    [88] C. H. Chou et al., "High-Performance Single-Crystal-Like Strained-Silicon Nanowire Thin-Film Transistors via Continuous-Wave Laser Crystallization," IEEE Electron Device Letters, vol. 36, no. 4, pp. 348-350, 2015, doi: 10.1109/LED.2015.2405760.
    [89] C. Y. Liao et al., "Location-Controlled Single-Crystal-Like Silicon Thin-Film Transistors by Excimer Laser Crystallization on Recessed-Channel Silicon Strip With Under-Layered Nitride," IEEE Electron Device Letters, vol. 37, no. 9, pp. 1135-1138, 2016, doi: 10.1109/LED.2016.2588735.
    [90] A. Sato, Y. Momiyama, Y. Nara, T. Sugii, Y. Arimoto, and T. Ito, "A 0.5-μm EEPROM cell using poly-Si TFT technology," IEEE Transactions on Electron Devices, vol. 40, no. 11, p. 2126, 1993, doi: 10.1109/16.239804.
    [91] S. Koyama, "A novel cell structure for giga-bit EPROMs and flash memories using polysilicon thin film transistors," in 1992 Symposium on VLSI Technology Digest of Technical Papers, 1992, pp. 44-45, doi: 10.1109/VLSIT.1992.200638.
    [92] Y. Uemoto, E. Fujii, A. Nakamura, K. Senda, and H. Takagi, "A stacked-CMOS cell technology for high-density SRAM's," IEEE Transactions on Electron Devices, vol. 39, no. 10, pp. 2359-2363, 1992, doi: 10.1109/16.158809.
    [93] J. W. Han et al., "Fully Depleted Polysilicon TFTs for Capacitorless 1T-DRAM," IEEE Electron Device Letters, vol. 30, no. 7, pp. 742-744, 2009, doi: 10.1109/LED.2009.2022343.
    [94] Yutaka Sawayama, Naofumi Kimura, Yoshitaka Yamamoto, and Y. Ishii, "A liquid crystal device and a method for driving the same," Patent Appl. 94309496.1, 1995.
    [95] J. D. Lee, B. C. Shim, C. Oh, I.-h. Kim, and H. S. Uh, "Surface morphology and I-V characteristics of single-crystal, polycrystalline, and amorphous silicon FEA's," IEEE Electron Device Letters, vol. 20, pp. 215-218, 1999.
    [96] N. Kimizuka and T. Mohri, "Spinel, YbFe2O4, and Yb2Fe3O7 types of structures for compounds in the In2O3 and Sc2O3-A2O3-BO systems [A: Fe, Ga, or Al; B: Mg, Mn, Fe, Ni, Cu, or Zn] at temperatures over 1000°C," Journal of Solid State Chemistry, vol. 60, no. 3, pp. 382-384, 1985, doi: 10.1016/0022-4596(85)90290-7.
    [97] K. Nomura, H. Ohta, A. Takagi, T. Kamiya, M. Hirano, and H. Hosono, "Room-temperature fabrication of transparent flexible thin-film transistors using amorphous oxide semiconductors," Nature, vol. 432, no. 7016, pp. 488-492, 2004, doi: 10.1038/nature03090.
    [98] G. H. Kim, H. S. Shin, B. D. Ahn, K. H. Kim, W. J. Park, and H. J. Kim, "Formation Mechanism of Solution-Processed Nanocrystalline InGaZnO Thin Film as Active Channel Layer in Thin-Film Transistor," Journal of The Electrochemical Society, vol. 156, no. 1, p. H7, 2009, doi: 10.1149/1.2976027.
    [99] G. H. Kim, B. Du Ahn, H. S. Shin, W. H. Jeong, H. J. Kim, and H. J. Kim, "Effect of indium composition ratio on solution-processed nanocrystalline InGaZnO thin film transistors," Applied Physics Letters, vol. 94, no. 23, p. 233501, 2009, doi: 10.1063/1.3151827.
    [100] K. Nomura, H. Ohta, K. Ueda, T. Kamiya, M. Hirano, and H. Hosono, "Thin-film transistor fabricated in single-crystalline transparent oxide semiconductor," Science, vol. 300, no. 5623, pp. 1269-1272, 2003.
    [101] H. Hosono, "Ionic amorphous oxide semiconductors: Material design, carrier transport, and device application," Journal of Non-Crystalline Solids, vol. 352, no. 9, pp. 851-858, 2006, doi: 10.1016/j.jnoncrysol.2006.01.073.
    [102] T. Aoi, N. Oka, Y. Sato, R. Hayashi, H. Kumomi, and Y. Shigesato, "DC sputter deposition of amorphous indium–gallium–zinc–oxide (a-IGZO) films with H2O introduction," Thin Solid Films, vol. 518, no. 11, pp. 3004-3007, 2010, doi: 10.1016/j.tsf.2009.09.176.
    [103] J. Kim et al., "Effect of IGZO thin films fabricated by Pulsed-DC and RF sputtering on TFT characteristics," Materials Science in Semiconductor Processing, vol. 120, p. 105264, 2020.
    [104] K. S. Yoo, D.-G. Kim, S. Lee, W.-B. Lee, and J.-S. Park, "Atmospheric pressure spatial ALD of Al2O3 thin films for flexible PEALD IGZO TFT application," Ceramics International, vol. 48, no. 13, pp. 18803-18810, 2022, doi: 10.1016/j.ceramint.2022.03.157.
    [105] J. Park et al., "Effect of ALD- and PEALD- Grown Al2O3 Gate Insulators on Electrical and Stability Properties for a-IGZO Thin-Film Transistor," Electronic Materials Letters, vol. 17, no. 4, pp. 299-306, 2021, doi: 10.1007/s13391-021-00282-z.
    [106] C.-H. Wu, B.-W. Huang, K.-M. Chang, S.-J. Wang, J.-H. Lin, and J.-M. Hsu, "The performance improvement of N2 plasma treatment on ZrO2 gate dielectric thin-film transistors with atmospheric pressure plasma-enhanced chemical vapor deposition IGZO channel," Journal of Nanoscience and Nanotechnology, vol. 16, no. 6, pp. 6044-6048, 2016.
    [107] G. T. Dang, T. Kawaharamura, M. Furuta, and M. W. Allen, "Metal-Semiconductor Field-Effect Transistors With In–Ga–Zn–O Channel Grown by Nonvacuum-Processed Mist Chemical Vapor Deposition," IEEE Electron Device Letters, vol. 36, no. 5, pp. 463-465, 2015, doi: 10.1109/LED.2015.2412124.
    [108] S. Kwon, J. H. Noh, J. Noh, and P. D. Rack, "Quantitative Calculation of Oxygen Incorporation in Sputtered IGZO Films and the Impact on Transistor Properties," Journal of The Electrochemical Society, vol. 158, no. 3, p. H289, 2011, doi: 10.1149/1.3530779.
    [109] K. Takenaka et al., "Analysis of residual oxygen during a-IGZO thin film formation by plasma-assisted reactive sputtering using a stable isotope," Vacuum, vol. 215, p. 112227, 2023, doi: 10.1016/j.vacuum.2023.112227.
    [110] C.-H. Wu, F.-C. Yang, W.-C. Chen, and C.-L. Chang, "Influence of oxygen/argon reaction gas ratio on optical and electrical characteristics of amorphous IGZO thin films coated by HiPIMS process," Surface and Coatings Technology, vol. 303, pp. 209-214, 2016, doi: 10.1016/j.surfcoat.2016.03.089.
    [111] Y. Han, D. H. Lee, E.-S. Cho, S. J. Kwon, and H. Yoo, "Argon and Oxygen Gas Flow Rate Dependency of Sputtering-Based Indium-Gallium-Zinc Oxide Thin-Film Transistors," Micromachines, vol. 14, no. 7, doi: 10.3390/mi14071394.
    [112] P.-T. Liu, C.-H. Chang, C.-S. Fuh, Y.-T. Liao, and S. M. Sze, "Effects of Nitrogen on Amorphous Nitrogenated InGaZnO (a-IGZO:N) Thin Film Transistors," Journal of Display Technology, vol. 12, no. 10, pp. 1070-1077, 2016.
    [113] K. Park et al., "Highly reliable amorphous In-Ga-Zn-O thin-film transistors through the addition of nitrogen doping," IEEE Transactions on Electron Devices, vol. 66, no. 1, pp. 457-463, 2018.
    [114] L. X. Qian, W. M. Tang, and P. T. Lai, "Improved Characteristics of InGaZnO Thin-Film Transistor by Using Fluorine Implant," ECS Solid State Letters, vol. 3, no. 8, p. P87, 2014, doi: 10.1149/2.0121407ssl.
    [115] S. L. Zhan et al., "The influence of nitrogen implantation on the electrical properties of amorphous IGZO," Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, vol. 406, pp. 596-599, 2017, doi: 10.1016/j.nimb.2017.01.041.
    [116] S. I. Oh, J. M. Woo, and J. H. Jang, "Comparative Studies of Long-Term Ambiance and Electrical Stress Stability of IGZO Thin-Film Transistors Annealed Under Hydrogen and Nitrogen Ambiance," IEEE Transactions on Electron Devices, vol. 63, no. 5, pp. 1910-1915, 2016, doi: 10.1109/TED.2016.2545742.
    [117] S. I. Oh, G. Choi, H. Hwang, W. Lu, and J. H. Jang, "Hydrogenated IGZO Thin-Film Transistors Using High-Pressure Hydrogen Annealing," IEEE Transactions on Electron Devices, vol. 60, no. 8, pp. 2537-2541, 2013, doi: 10.1109/TED.2013.2265326.
    [118] Y. Li, Z. Liu, K. Jiang, and X. Hu, "H2 annealing effect on the structural and electrical properties of amorphous InGaZnO films for thin film transistors," Journal of Non-Crystalline Solids, vol. 378, pp. 50-54, 2013, doi: 10.1016/j.jnoncrysol.2013.06.014.
    [119] R. Triggs, "Display technology explained: A-Si, LTPS, amorphous IGZO, and beyond," July 2, 2014.
    [120] C.-W. Lee, A. Afzalian, N. D. Akhavan, R. Yan, I. Ferain, and J.-P. Colinge, "Junctionless multigate field-effect transistor," Applied Physics Letters, vol. 94, no. 5, p. 053511, 2009, doi: 10.1063/1.3079411.
    [121] J. P. Colinge et al., "Junctionless Nanowire Transistor (JNT): Properties and design guidelines," Solid-State Electronics, vol. 65-66, pp. 33-37, 2011, doi: 10.1016/j.sse.2011.06.004.
    [122] J. P. Colinge et al., "Junctionless Transistors: Physics and Properties," in Semiconductor-On-Insulator Materials for Nanoelectronics Applications, A. Nazarov, J. P. Colinge, F. Balestra, J.-P. Raskin, F. Gamiz, and V. S. Lysenko Eds. Berlin, Heidelberg: Springer Berlin Heidelberg, 2011, pp. 187-200.
    [123] J.-P. Colinge et al., "Nanowire transistors without junctions," Nature nanotechnology, vol. 5, no. 3, pp. 225-229, 2010.
    [124] D.-Y. Jeon, S. J. Park, M. Mouis, S. Barraud, G.-T. Kim, and G. Ghibaudo, "Impact of series resistance on the operation of junctionless transistors," Solid-State Electronics, vol. 129, pp. 103-107, 2017, doi: 10.1016/j.sse.2016.12.004.
    [125] G. Leung and C. O. Chui, "Variability Impact of Random Dopant Fluctuation on Nanoscale Junctionless FinFETs," IEEE Electron Device Letters, vol. 33, no. 6, pp. 767-769, 2012, doi: 10.1109/LED.2012.2191931.
    [126] M. Aldegunde, A. Martinez, and J. R. Barker, "Study of Discrete Doping-Induced Variability in Junctionless Nanowire MOSFETs Using Dissipative Quantum Transport Simulations," IEEE Electron Device Letters, vol. 33, no. 2, pp. 194-196, 2012, doi: 10.1109/LED.2011.2177634.
    [127] M. H. Han et al., "Characteristic of p-Type Junctionless Gate-All-Around Nanowire Transistor and Sensitivity Analysis," IEEE Electron Device Letters, vol. 34, no. 2, pp. 157-159, 2013, doi: 10.1109/LED.2012.2229105.
    [128] M.-J. Tsai et al., "Fabrication and Characterization of Stacked Poly-Si Nanosheet With Gate-All-Around and Multi-Gate Junctionless Field Effect Transistors," IEEE Journal of the Electron Devices Society, vol. 7, pp. 1133-1139, 2019, doi: 10.1109/jeds.2019.2952150.
    [129] C. C. C. Chung, C. M. Ko, and T. S. Chao, "Self-Limited Low-Temperature Trimming and Fully Silicided S/D for Vertically Stacked Cantilever Gate-All-Around Poly-Si Junctionless Nanosheet Transistors," IEEE Journal of the Electron Devices Society, vol. 7, pp. 959-963, 2019, doi: 10.1109/JEDS.2019.2940606.
    [130] Y. R. Lin, Y. H. Lin, Y. Y. Yang, and Y. C. Wu, "Comprehensive Study of Stacked Nanosheet-Type Channel Based on Junctionless Gate-All-Around Thin-Film Transistors," IEEE Journal of the Electron Devices Society, vol. 7, pp. 969-972, 2019, doi: 10.1109/JEDS.2019.2937142.
    [131] M.-J. Ahn, T. Saraya, M. Kobayashi, and T. Hiramoto, "Variability characteristics and corner effects of gate-all-around (GAA) p-type poly-Si junctionless nanowire/nanosheet transistors," Japanese Journal of Applied Physics, vol. 60, no. SB, p. SBBA02, 2021, doi: 10.35848/1347-4065/abdb84.
    [132] F. M. Wanlass and C. T. Sah, "Nanowatt logic using field-effect metal-oxide semiconductor triodes," in Semiconductor devices: pioneering papers: World Scientific, 1991, pp. 637-638.
    [133] J. P. Uyemura, "The CMOS Inverter: Analysis and Design," CMOS Logic Circuit Design, pp. 103-154, 2001.
    [134] X. Chen and N. A. Touba, "CHAPTER 2 - Fundamentals of CMOS design," in Electronic Design Automation, L.-T. Wang, Y.-W. Chang, and K.-T. Cheng Eds. Boston: Morgan Kaufmann, 2009, pp. 39-95.
    [135] L. Chang et al., "Stable SRAM cell design for the 32 nm node and beyond," in Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005., 2005, pp. 128-129, doi: 10.1109/.2005.1469239.
    [136] E. N. Shauly, "CMOS Leakage and Power Reduction in Transistors and Circuits: Process and Layout Considerations," Journal of Low Power Electronics and Applications, vol. 2, no. 1, pp. 1-29doi: 10.3390/jlpea2010001.
    [137] L. R. Michael, "Retrospective on VLSI value scaling and lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 18, no. 4, p. 040902, 2019, doi: 10.1117/1.JMM.18.4.040902.
    [138] P. Schuddinck et al., "Device-, Circuit- & Block-level evaluation of CFET in a 4 track library," in 2019 Symposium on VLSI Technology, 2019, pp. T204-T205, doi: 10.23919/VLSIT.2019.8776513.
    [139] K. Mehrabi, B. Ebrahimi, and A. Afzali-Kusha, "A robust and low power 7T SRAM cell design," in 2015 18th CSI International Symposium on Computer Architecture and Digital Systems (CADS), 2015, pp. 1-6, doi: 10.1109/CADS.2015.7377782.
    [140] P. Athe and S. Dasgupta, "A comparative study of 6T, 8T and 9T decanano SRAM cell," in 2009 IEEE Symposium on Industrial Electronics & Applications, 2009, vol. 2, pp. 889-894, doi: 10.1109/ISIEA.2009.5356318.
    [141] P. S. Grace and N. M. Sivamangai, "Design of 10T SRAM cell for high SNM and low power," in 2016 3rd International Conference on Devices, Circuits and Systems (ICDCS), 2016, pp. 281-285, doi: 10.1109/ICDCSyst.2016.7570609.
    [142] R. Giterman and A. Fish, "Towards a black-box methodology for SRAM stability analysis," in 2014 IEEE 28th Convention of Electrical & Electronics Engineers in Israel (IEEEI), 2014, pp. 1-4, doi: 10.1109/EEEI.2014.7005777.
    [143] P. Murugapandiyan, S. Ravimaran, and J. William, "DC and microwave characteristics of Lg 50nm T-gate InAlN/AlN/GaN HEMT for future high power RF applications," AEU - International Journal of Electronics and Communications, vol. 77, pp. 163-168, 2017, doi: 10.1016/j.aeue.2017.05.004.
    [144] R. Singh et al., "Design and simulation of T‐gate AlN/β‐Ga2O3 HEMT for DC, RF and high‐power nanoelectronics switching applications," International Journal of Numerical Modelling: Electronic Networks, Devices and Fields, vol. 37, no. 1, p. e3146, 2024.
    [145] Y.-Z. Jiang et al., "Modeling of novel RF AlGaN/GaN HEMTs with the structure of n-Si drain extension," Micro and Nanostructures, vol. 174, p. 207499, 2023, doi: 10.1016/j.micrna.2022.207499.
    [146] K. Oh, H. Kim, K. Park, H.-j. Lee, B. D. Kong, and C.-K. Baek, "A drain extended FinFET with enhanced DC/RF performance for high-voltage RF applications," Semiconductor Science and Technology, vol. 37, no. 11, p. 115008, 2022, doi: 10.1088/1361-6641/ac93ac.
    [147] K. Elgaid, H. McLelland, M. Holland, D. A. J. Moran, C. R. Stanley, and I. G. Thayne, "50-nm T-gate metamorphic GaAs HEMTs with f/sub T/ of 440 GHz and noise figure of 0.7 dB at 26 GHz," IEEE Electron Device Letters, vol. 26, no. 11, pp. 784-786, 2005, doi: 10.1109/LED.2005.857716.
    [148] H. Y. Chang, Y. C. Liu, S. H. Weng, C. H. Lin, Y. L. Yeh, and Y. C. Wang, "Design and Analysis of a DC–43.5-GHz Fully Integrated Distributed Amplifier Using GaAs HEMT–HBT Cascode Gain Stage," IEEE Transactions on Microwave Theory and Techniques, vol. 59, no. 2, pp. 443-455, 2011, doi: 10.1109/TMTT.2010.2092786.
    [149] J. Ajayan et al., "A critical review of design and fabrication challenges in InP HEMTs for future terahertz frequency applications," Materials Science in Semiconductor Processing, vol. 128, p. 105753, 2021, doi: 10.1016/j.mssp.2021.105753.
    [150] M. Urteaga, Z. Griffith, M. Seo, J. Hacker, and M. J. W. Rodwell, "InP HBT Technologies for THz Integrated Circuits," Proceedings of the IEEE, vol. 105, no. 6, pp. 1051-1067, 2017, doi: 10.1109/JPROC.2017.2692178.
    [151] R. C. Clarke and J. W. Palmour, "SiC microwave power technologies," Proceedings of the IEEE, vol. 90, no. 6, pp. 987-992, 2002, doi: 10.1109/JPROC.2002.1021563.
    [152] G. I. Gudjonsson et al., "Design and Fabrication of 4H-SiC RF MOSFETs," IEEE Transactions on Electron Devices, vol. 54, no. 12, pp. 3138-3145, 2007, doi: 10.1109/TED.2007.908547.
    [153] U. K. Mishra, L. Shen, T. E. Kazior, and Y. F. Wu, "GaN-Based RF Power Devices and Amplifiers," Proceedings of the IEEE, vol. 96, no. 2, pp. 287-305, 2008, doi: 10.1109/JPROC.2007.911060.
    [154] B. Green, K. Moore, D. Hill, M. CdeBaca, and J. Schultz, "GaN RF device technology and applications, present and future," in 2013 IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM), 2013, pp. 101-106, doi: 10.1109/BCTM.2013.6798154.
    [155] D. G. H. AO. "Radiofrequency electromagnetic radiation carries energy and information." Australian Government’s primary authority on radiation protection and nuclear safety. (accessed.
    [156] J. W. M. Rogers, C. Plett, and I. Valdman, "Radio Frequency Integrated Circuit Design," 2003.
    [157] F. Masuoka, M. Momodomi, Y. Iwata, and R. Shirota, "New ultra high density EPROM and flash EEPROM with NAND structure cell," in 1987 International Electron Devices Meeting, 1987, pp. 552-555, doi: 10.1109/IEDM.1987.191485.
    [158] H. Iizuka, F. Masuoka, S. Tai, and M. Ishikawa, "Electrically alterable avalanche-injection-type MOS READ-ONLY memory with stacked-gate structure," IEEE Transactions on Electron Devices, vol. 23, no. 4, pp. 379-387, 1976, doi: 10.1109/T-ED.1976.18415.
    [159] G. Drummer, Electronic Inventions and Discoveries: Electronics from its earliest beginnings to the present day. Routledge, 2021.
    [160] F. Masuoka, M. Asano, H. Iwahashi, T. Komuro, and S. Tanaka, "A new flash E2PROM cell using triple polysilicon technology," in 1984 International Electron Devices Meeting, 1984, pp. 464-467, doi: 10.1109/IEDM.1984.190752.
    [161] J. Boukhobza and P. Olivier, Flash Memory Integration: Performance and Energy Issues. Elsevier, 2017.
    [162] M. K. Md Arshad, N. Othman, and U. Hashim, "Fully Depletion of Advanced Silicon on Insulator MOSFETs," Critical Reviews in Solid State and Materials Sciences, vol. 40, no. 3, pp. 182-196, 2015, doi: 10.1080/10408436.2014.978447.
    [163] J. Ramanujam et al., "Inorganic photovoltaics – Planar and nanostructured devices," Progress in Materials Science, vol. 82, pp. 294-404, 2016, doi: 10.1016/j.pmatsci.2016.03.005.
    [164] D. K. Schroder, Semiconductor material and device characterization. John Wiley & Sons, 2015.
    [165] S. Natarajan et al., "A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588µm2 SRAM cell size," in 2014 IEEE International Electron Devices Meeting, 2014, pp. 3.7.1-3.7.3, doi: 10.1109/IEDM.2014.7046976.
    [166] S. Takagi et al., "Carrier-Transport-Enhanced Channel CMOS for Improved Power Consumption and Performance," IEEE Transactions on Electron Devices, vol. 55, no. 1, pp. 21-39, 2008, doi: 10.1109/TED.2007.911034.
    [167] K. Cheng et al., "Air spacer for 10nm FinFET CMOS and beyond," in 2016 IEEE International Electron Devices Meeting (IEDM), 2016, pp. 17.1.1-17.1.4, doi: 10.1109/IEDM.2016.7838436.
    [168] C.-W. Lee et al., "Performance estimation of junctionless multigate transistors," Solid-State Electronics, vol. 54, no. 2, pp. 97-103, 2010, doi: 10.1016/j.sse.2009.12.003.
    [169] P.-J. Sung et al., "Voltage transfer characteristic matching by different nanosheet layer numbers of vertically stacked junctionless CMOS inverter for SoP/3D-ICs applications," in 2018 IEEE International Electron Devices Meeting (IEDM), 2018: IEEE, pp. 21.4. 1-21.4. 4.
    [170] H.-H. Hu and H.-Y. Cheng, "A Hybrid Wide Drain Poly-Si FinTFT for RF Application," IEEE Access, vol. 6, pp. 47268-47272, 2018, doi: 10.1109/access.2018.2865881.
    [171] H.-H. Hu and K.-M. Wang, "Effects of Channel Width on High-Frequency Characteristics of Trigate Poly-Si Thin-Film Transistors Fabricated by Microwave Annealing," IEEE Transactions on Electron Devices, vol. 62, no. 9, pp. 2883-2887, 2015, doi: 10.1109/ted.2015.2456235.
    [172] S.-W. Chang et al., "First demonstration of CMOS inverter and 6T-SRAM based on GAA CFETs structure for 3D-IC applications," in 2019 IEEE International Electron Devices Meeting (IEDM), 2019: IEEE, pp. 11.7. 1-11.7. 4, doi: 10.1109/IEDM19573.2019.8993525.
    [173] Sentaurus TCAD, Synopsys Incorporation, Mountain View, CA, USA, version 2020.09.
    [174] P.-J. Sung et al., "Fabrication of vertically stacked nanosheet junctionless field-effect transistors and applications for the CMOS and CFET inverters," IEEE Transactions on Electron Devices, vol. 67, no. 9, pp. 3504-3509, 2020, doi: 10.1109/TED.2020.3007134.
    [175] S. A. Mujtaba, Advanced mobility models for design and simulation of deep submicrometer MOSFETs. Stanford University, 1996.
    [176] S. H. Song, K. C. Chun, and C. H. Kim, "A Logic-Compatible Embedded Flash Memory for Zero-Standby Power System-on-Chips Featuring a Multi-Story High Voltage Switch and a Selective Refresh Scheme," IEEE Journal of Solid-State Circuits, vol. 48, no. 5, pp. 1302-1314, 2013, doi: 10.1109/JSSC.2013.2247691.
    [177] C. C.-H. Hsu, Y.-T. Lin, and E. C.-S. Yang, Logic non-volatile memory: The NVM solutions from eMemory. World Scientific, 2014.
    [178] S. H. Song, J. Kim, and C. H. Kim, "A Comparative Study of Single-Poly Embedded Flash Memory Disturbance, Program/Erase Speed, Endurance, and Retention Characteristic," IEEE Transactions on Electron Devices, vol. 61, no. 11, pp. 3737-3743, 2014, doi: 10.1109/TED.2014.2359388.
    [179] M. Kim et al., "A 68 Parallel Row Access Neuromorphic Core with 22K Multi-Level Synapses Based on Logic-Compatible Embedded Flash Memory Technology," in 2018 IEEE International Electron Devices Meeting (IEDM), 2018, pp. 15.4.1-15.4.4, doi: 10.1109/IEDM.2018.8614599.
    [180] C. C. Li, M. S. Yeh, Y. J. Lee, and Y. C. Wu, "Study of Twin Ge FinFET Structure Non-Volatile Memory," in 2019 Silicon Nanoelectronics Workshop (SNW), 2019, pp. 1-2, doi: 10.23919/SNW.2019.8782901.
    [181] M. S. Yeh et al., "Comprehensive Study of N-Channel and P-Channel Twin Poly-Si FinFET Nonvolatile Memory," IEEE Transactions on Nanotechnology, vol. 13, no. 4, pp. 814-819, 2014, doi: 10.1109/TNANO.2014.2323983.
    [182] Sentaurus TCAD, Synopsys Incorporation, Mountain View, CA, USA, version 2019.09.
    [183] A. Zaka et al., "On the accuracy of current TCAD hot carrier injection models in nanoscale devices," Solid-State Electronics, vol. 54, no. 12, pp. 1669-1674, 2010, doi: 10.1016/j.sse.2010.06.014.
    [184] H. Tomiye, T. Terano, K. Nomoto, and T. Kobayashi, "A novel 2-bit/cell MONOS memory device with a wrapped-control-gate structure that applies source-side hot-electron injection," in 2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303), 2002, pp. 206-207, doi: 10.1109/VLSIT.2002.1015454.
    [185] D. H. Li, W. Kim, J. H. Lee, and B. G. Park, "Thickness-dependence of oxide-nitride-oxide erase property in SONOS flash memory," in 2009 International Semiconductor Device Research Symposium, 2009, pp. 1-2, doi: 10.1109/ISDRS.2009.5378073.
    [186] D. Matsubayashi et al., "20-nm-Node trench-gate-self-aligned crystalline In-Ga-Zn-Oxide FET with high frequency and low off-state current," in 2015 IEEE International Electron Devices Meeting (IEDM), 2015, pp. 6.5.1-6.5.4, doi: 10.1109/IEDM.2015.7409641.
    [187] Y. Wang et al., "Amorphous-InGaZnO Thin-Film Transistors Operating Beyond 1 GHz Achieved by Optimizing the Channel and Gate Dimensions," IEEE Transactions on Electron Devices, vol. 65, no. 4, pp. 1377-1382, 2018, doi: 10.1109/ted.2018.2807621.
    [188] T. Kamiya, K. Nomura, and H. Hosono, "Origins of High Mobility and Low Operation Voltage of Amorphous Oxide TFTs: Electronic Structure, Electron Transport, Defects and Doping," Journal of Display Technology, vol. 5, no. 12, pp. 468-483, 2009, doi: 10.1109/jdt.2009.2034559.
    [189] X. Li, D. Geng, M. Mativenga, and J. Jang, "High-Speed Dual-Gate a-IGZO TFT-Based Circuits With Top-Gate Offset Structure," IEEE Electron Device Letters, vol. 35, no. 4, pp. 461-463, 2014, doi: 10.1109/led.2014.2305665.
    [190] I.-T. Cho et al., "Full-Swing a-IGZO Inverter With a Depletion Load Using Negative Bias Instability Under Light Illumination," IEEE Electron Device Letters, vol. 33, no. 12, pp. 1726-1728, 2012, doi: 10.1109/led.2012.2221454.
    [191] T.-C. Huang et al., "Pseudo-CMOS: A Design Style for Low-Cost and Robust Flexible Electronics," IEEE Transactions on Electron Devices, vol. 58, no. 1, pp. 141-150, 2011, doi: 10.1109/ted.2010.2088127.
    [192] Y. Chen, D. Geng, M. Mativenga, H. Nam, and J. Jang, "High-Speed Pseudo-CMOS Circuits Using Bulk Accumulation a-IGZO TFTs," IEEE Electron Device Letters, vol. 36, no. 2, pp. 153-155, 2015, doi: 10.1109/led.2014.2379700.
    [193] M. Wang et al., "Threshold Voltage Tuning in a-IGZO TFTs With Ultrathin SnOx Capping Layer and Application to Depletion-Load Inverter," IEEE Electron Device Letters, vol. 37, no. 4, pp. 422-425, 2016, doi: 10.1109/led.2016.2525761.
    [194] S. Lee and A. Nathan, "Subthreshold Schottky-barrier thin-film transistors with ultralow power and high intrinsic gain," Science, vol. 354, no. 6310, pp. 302-304, 2016.
    [195] H. M. Kim, S. H. Choi, H. J. Jeong, J. H. Lee, J. Kim, and J. S. Park, "Highly Dense and Stable p-Type Thin-Film Transistor Based on Atomic Layer Deposition SnO Fabricated by Two-Step Crystallization," ACS Appl Mater Interfaces, vol. 13, no. 26, pp. 30818-30825, 2021, doi: 10.1021/acsami.1c06038.
    [196] S.-Y. Sung et al., "Fabrication of p-channel thin-film transistors using CuO active layers deposited at low temperature," Applied Physics Letters, vol. 97, no. 22, 2010, doi: 10.1063/1.3521310.
    [197] C.-W. Lin, W.-C. Chung, Z.-D. Zhang, and M.-C. Hsu, "P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer," Japanese Journal of Applied Physics, vol. 57, no. 1S, 2018, doi: 10.7567/jjap.57.01ae01.
    [198] Y. Li et al., "Complementary Integrated Circuits Based on p-Type SnO and n-Type IGZO Thin-Film Transistors," IEEE Electron Device Letters, vol. 39, no. 2, pp. 208-211, 2018, doi: 10.1109/led.2017.2786237.
    [199] J. Jeong, S. G. Seo, S. M. Yu, Y. Kang, J. Song, and S. H. Jin, "Flexible Light-to-Frequency Conversion Circuits Built with Si-Based Frequency-to-Digital Converters via Complementary Photosensitive Ring Oscillators with p-Type SWNT and n-Type a-IGZO Thin Film Transistors," Small, vol. 17, no. 26, p. e2008131, 2021, doi: 10.1002/smll.202008131.
    [200] J. H. Na, M. Kitamura, and Y. Arakawa, "Organic/inorganic hybrid complementary circuits based on pentacene and amorphous indium gallium zinc oxide transistors," Applied Physics Letters, vol. 93, no. 21, 2008.
    [201] C. Chen et al., "Integrating Poly-Silicon and InGaZnO Thin-Film Transistors for CMOS Inverters," IEEE Transactions on Electron Devices, vol. 64, no. 9, pp. 3668-3671, 2017, doi: 10.1109/ted.2017.2731205.
    [202] H. Kim, D. Y. Jeong, S. Lee, and J. Jang, "A High-Gain Inverter With Low-Temperature Poly-Si Oxide Thin-Film Transistors," IEEE Electron Device Letters, vol. 40, no. 3, pp. 411-414, 2019, doi: 10.1109/led.2019.2893194.
    [203] T.-L. Chen, K.-C. Huang, H.-Y. Lin, C. H. Chou, H. H. Lin, and C. W. Liu, "Enhanced Current Drive of Double-Gate α-IGZO Thin-Film Transistors," IEEE Electron Device Letters, vol. 34, no. 3, pp. 417-419, 2013, doi: 10.1109/led.2013.2238884.
    [204] M. V. Dunga et al., "BSIM-MG: A versatile multi-gate FET model for mixed-signal design," in 2007 IEEE Symposium on VLSI Technology, 2007: IEEE, pp. 60-61.
    [205] H. Hosono, "Recent progress in transparent oxide semiconductors: Materials and device application," Thin Solid Films, vol. 515, no. 15, pp. 6000-6014, 2007, doi: 10.1016/j.tsf.2006.12.125.
    [206] H. Hosono, "Ionic amorphous oxide semiconductors: Material design, carrier transport, and device application," Journal of Non-Crystalline Solids, vol. 352, no. 9-20, pp. 851-858, 2006, doi: 10.1016/j.jnoncrysol.2006.01.073.
    [207] X. Li, E. Xin, L. Chen, J. Shi, and J. Zhang, "Effect of etching stop layer on characteristics of amorphous IGZO thin film transistor fabricated at low temperature," AIP Advances, vol. 3, no. 3, 2013, doi: 10.1063/1.4798305.
    [208] T. Kamiya, K. Nomura, and H. Hosono, "Present status of amorphous In-Ga-Zn-O thin-film transistors," Sci Technol Adv Mater, vol. 11, no. 4, p. 044305, 2010, doi: 10.1088/1468-6996/11/4/044305.
    [209] R. A. Street, "Thin‐Film Transistors," Advanced Materials, vol. 21, no. 20, pp. 2007-2022, 2009, doi: 10.1002/adma.200803211.
    [210] T. Kamiya, K. Nomura, and H. Hosono, "Electronic structure of the amorphous oxide semiconductor a‐InGaZnO4–x: Tauc–Lorentz optical model and origins of subgap states," physica status solidi (a), vol. 206, no. 5, pp. 860-867, 2009, doi: 10.1002/pssa.200881303.
    [211] X. Duan et al., "Novel vertical channel-all-around (CAA) In-Ga-Zn-O FET for 2T0C-DRAM with high density beyond 4F2 by monolithic stacking," IEEE Transactions on Electron Devices, vol. 69, no. 4, pp. 2196-2202, 2022.
    [212] A. Belmonte et al., "Tailoring IGZO-TFT architecture for capacitorless DRAM, demonstrating> 103s retention,> 1011 cycles endurance and Lg scalability down to 14nm," in 2021 IEEE International Electron Devices Meeting (IEDM), 2021: IEEE, pp. 10.6. 1-10.6. 4.
    [213] C.-H. Wu, S.-N. Kuo, Y.-M. Chen, K.-M. Chang, Y. Yang, and A. Chin, "Electrical Characteristics of Magnesium Doped a-IGZO RRAM: Chemical Vapor Deposition using Enhanced Atmospheric Pressure-Plasma," in 2020 IEEE Eurasia Conference on IOT, Communication and Engineering (ECICE), 2020: IEEE, pp. 121-124.
    [214] P. Ma et al., "High-Performance InGaZnO-Based ReRAMs," IEEE Transactions on Electron Devices, vol. 66, no. 6, pp. 2600-2605, 2019, doi: 10.1109/ted.2019.2912483.
    [215] N. Yazaki et al., "Effectiveness of c-Axis Aligned Crystalline IGZO FET as Selector Element and Ferroelectric Capacitor Scaling of 1T1C FeRAM," IEEE Journal of the Electron Devices Society, vol. 11, pp. 467-472, 2023, doi: 10.1109/jeds.2023.3307124.
    [216] M. Endo et al., "A c-axis aligned crystalline IGZO FET and a 0.06-μm2 HfO2-based Capacitor 1T1C FeRAM with High Voltage Tolerance and 10-ns Write Time," presented at the 2022 International Electron Devices Meeting (IEDM), 2022.
    [217] S.-W. Chang et al., "First demonstration of heterogeneous IGZO/Si CFET monolithic 3-D integration with dual work function gate for ultralow-power SRAM and RF applications," IEEE Transactions on Electron Devices, vol. 69, no. 4, pp. 2101-2107, 2022, doi: 10.1109/TED.2021.3138947.
    [218] X.-R. Yu et al., "Integration Design and Process of 3-D Heterogeneous 6T SRAM with Double Layer Transferred Ge/2Si CFET and IGZO Pass Gates for 42% Reduced Cell Size," in 2022 International Electron Devices Meeting (IEDM), 2022: IEEE, pp. 20.5. 1-20.5. 4, doi: 10.1109/IEDM45625.2022.10019507.
    [219] M. Oota et al., "3D-stacked CAAC-In-Ga-Zn oxide FETs with gate length of 72nm," in 2019 IEEE International Electron Devices Meeting (IEDM), 2019: IEEE, pp. 3.2. 1-3.2. 4.
    [220] N. Münzenrieder et al., "Flexible a-IGZO TFT amplifier fabricated on a free standing polyimide foil operating at 1.2 MHz while bent to a radius of 5 mm," in 2012 International Electron Devices Meeting, 2012, pp. 5.2.1-5.2.4, doi: 10.1109/IEDM.2012.6478982.
    [221] U. Kalita, C. Tueckmantel, T. Riedl, and U. Pfeiffer, "Evaluation of the Beyond-fT Operation of an IGZO TFT-Based RF Self-Mixing Circuit," IEEE Microwave and Wireless Components Letters, vol. 29, no. 2, pp. 119-121, 2019, doi: 10.1109/lmwc.2018.2886068.
    [222] X. Liu et al., "Transparent megahertz circuits from solution-processed composite thin films," Nanoscale, vol. 8, no. 15, pp. 7978-83, 2016, doi: 10.1039/c6nr00602g.
    [223] N. Munzenrieder, L. Petti, C. Zysset, T. Kinkeldei, G. A. Salvatore, and G. Troster, "Flexible Self-Aligned Amorphous InGaZnO Thin-Film Transistors With Submicrometer Channel Length and a Transit Frequency of 135 MHz," IEEE Transactions on Electron Devices, vol. 60, no. 9, pp. 2815-2820, 2013, doi: 10.1109/ted.2013.2274575.
    [224] L.-Y. Su and J. Huang, "Demonstration of radio-frequency response of amorphous IGZO thin film transistors on the glass substrate," Solid-State Electronics, vol. 104, pp. 122-125, 2015, doi: 10.1016/j.sse.2014.10.007.
    [225] C. Wang et al., "Extremely Scaled Bottom Gate a-IGZO Transistors Using a Novel Patterning Technique Achieving Record High G­m of 479.5 μS/μm (VDS of 1 V) and fT of 18.3 GHz (VDS of 3 V)," presented at the 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2022.
    [226] X. D. Huang, J. Q. Song, and P. T. Lai, "Improved Stability of α-InGaZnO Thin-Film Transistor under Positive Gate Bias Stress by Using Fluorine Plasma Treatment," IEEE Electron Device Letters, vol. 38, no. 5, pp. 576-579, 2017, doi: 10.1109/LED.2017.2678468.
    [227] X. Liu et al., "Performance and Stability Improvements of Back-Channel-Etched Amorphous Indium–Gallium–Zinc Thin-Film-Transistors by CF4+O2 Plasma Treatment," IEEE Electron Device Letters, vol. 36, no. 9, pp. 911-913, 2015, doi: 10.1109/LED.2015.2456034.
    [228] J. H. Song, N. Oh, B. D. Anh, H. D. Kim, and J. K. Jeong, "Dynamics of Threshold Voltage Instability in IGZO TFTs: Impact of High Pressurized Oxygen Treatment on the Activation Energy Barrier," IEEE Transactions on Electron Devices, vol. 63, no. 3, pp. 1054-1058, 2016, doi: 10.1109/ted.2015.2511883.
    [229] K. M. Chang et al., "The investigation for In-Ga-Zn-O TFTs with post deposition of in-situ Ar/H2 plasma treatment by atmospheric pressure plasma Jet," in 2016 IEEE 16th International Conference on Nanotechnology (IEEE-NANO), 2016, pp. 405-407, doi: 10.1109/NANO.2016.7751471.
    [230] X. D. Huang, J. Q. Song, and P. T. Lai, "Improved Performance of Scaled-Down α-InGaZnO Thin-Film Transistor by Ar Plasma Treatment," IEEE Electron Device Letters, vol. 37, no. 12, pp. 1574-1577, 2016, doi: 10.1109/LED.2016.2615879.
    [231] Y. C. Park, J. G. Um, M. Mativenga, and J. Jang, "Enhanced Operation of Back-Channel-Etched a-IGZO TFTs by Fluorine Treatment during Source/Drain Wet-Etching," ECS Journal of Solid State Science and Technology, vol. 6, no. 5, pp. P300-P303, 2017, doi: 10.1149/2.0201705jss.
    [232] F. P. Tseng and F. Ching-Lin, "Improvement in environmental reliability of amorphous indium-gallium-zinc-oxide thin-film transistors by CF4 plasma treatment," in 2016 International Conference on Optical MEMS and Nanophotonics (OMN), 2016, pp. 1-2, doi: 10.1109/OMN.2016.7565940.
    [233] D. Lovelace, J. Costa, and N. Camilleri, "Extracting small-signal model parameters of silicon MOSFET transistors," in 1994 IEEE MTT-S International Microwave Symposium Digest (Cat. No.94CH3389-4), 1994, pp. 865-868 vol.2, doi: 10.1109/MWSYM.1994.335220.
    [234] H.-H. Hu, C.-L. Huang, Y.-J. Lee, and K.-M. Chen, "Poly-Si Finlike Thin-Film Transistors With Various Wide Drain Designs for Radio Frequency and 3-D Integrated Circuits," IEEE Transactions on Electron Devices, vol. 67, no. 6, pp. 2342-2345, 2020, doi: 10.1109/ted.2020.2985788.

    無法下載圖示 校內:2029-08-14公開
    校外:2029-08-14公開
    電子論文尚未授權公開,紙本請查館藏目錄
    QR CODE