| 研究生: |
羅賢君 Luo, Shien-Chun |
|---|---|
| 論文名稱: |
超低功率並具有製程變異意識之電路與儲存元件設計 Ultra-Low-Power and Process-Variation-Aware Circuits and Storage Elements |
| 指導教授: |
邱瀝毅
Chiou, Lih-Yih |
| 學位類別: |
博士 Doctor |
| 系所名稱: |
電機資訊學院 - 電機工程學系 Department of Electrical Engineering |
| 論文出版年: | 2011 |
| 畢業學年度: | 99 |
| 語文別: | 英文 |
| 論文頁數: | 123 |
| 中文關鍵詞: | 低功率 、低電壓 、電壓準位轉換 、靜態隨機存取記憶體 、次臨界電壓邏輯電路 、製程變異 |
| 外文關鍵詞: | Low Power, Low Voltage, Level Conversion, SRAM, Subthreshold Logic, Process Variation |
| 相關次數: | 點閱:98 下載:4 |
| 分享至: |
| 查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報 |
積極地降低功率消耗是發展無線系統的重要趨勢。尤其對於微小化的無線感知網路以及生醫感測元件而言,低電壓的積體電路是這些低功率系統不可缺少的核心元件。然而,低電壓的電路設計面臨許多來自元件、電路與系統設計的挑戰,尤其是當電壓降低到接近電晶體的臨界電壓時,操作電流和相關的電路特性對於製程, 操作電壓和溫度等參數變異將呈現指數的相依性,所以在必須在晶片內設計動態適應的機制。但是由於整體系統仍必須符合十分緊縮的功率消耗標準,使得同時考量變異控制與降低功率的設計變得更具有挑戰性。本文因此深入探討關於低電壓、低功率電路與系統的設計和研發,除了對於相關技術作完整的檢視與分析之外,更提出數種從理論到電路上的改進。其研發重點摘要如下。首先,本文提出一個有效而且適應性的最低可操作電壓計算,使得最低可操作電壓可以根據實際製程和環境變異而調整。其次,本文提出一個多功能的變異控制設計,並且大幅度降低這種控制所需要消耗的功率。本文也提出了能工作於超低電壓、具備製程變異容忍的記憶體,此記憶體中的新式電路能有效降低因為隨機製程變異所造成的讀取錯誤率。最後,本文探討低電壓電路與一般電壓電路的介面設計、審視相關電路與系統的設計議題、並提出數種改進的新式電路。以上所提出的理論和新式電路設計皆經過嚴謹的模擬或實體晶片開發驗證,證明可以有效提升低電壓系統的堅實和穩定性。
Low power consumption is essential for the devices in wireless sensor networks and biomedical body-area networks, because such devices usually have miniature dimensions and demanding requirements in lifetime. Aggressively and dynamically scaling down the operating voltage of the digital components is a practical method to optimize the power consumption according to the dynamic performance requirement. However, the major challenge of the low-voltage circuits is their high sensitivity to process, voltage, and temperature variations, which are caused by the manufacturing processes and operating environments. The variations greatly affect the performance and signal integrity of the low-voltage circuits, and their impact is exponential when the supply voltage is scaled down to the subthreshold. Robust and adaptive designs thus are crucial challenges. Furthermore, the overall power budget remains although the adaptive designs are included. This dissertation discusses the research and developments of the low-voltage and variation-aware circuits and storage elements. The important achievements are organized as follows. First, this dissertation proposes a low-complexity calculation of the minimum supply voltage considering the limited power budget and dynamic variations. Second, ultra-low-power adaptive-body-bias circuits are proposed to compensate for the process variations, where the proposed circuits support various power-management strategies, and the power consumption is at the leakage level. In addition to the low-voltage logics, a robust subthreshold memory is proposed considering the serious access failure due to within-die variations. Finally, this dissertation proposes level-converting flip-flops considering the clocking and loading effects of performance-constrained multiple-supply systems. Robust level conversion from sub-to-super-threshold voltage is also analyzed and studied. Abovementioned research and developments are verified with corresponding simulations and measurements.
[1] H. De Man, "Ambient intelligence: gigascale dreams and nanoscale realities," IEEE Int. Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, 2005, pp. 29-35.
[2] J. A. Paradiso and T. Starner, “Energy scavenging for mobile and wireless electronics,” IEEE Pervasive Computing, vol. 4, no. 1, pp. 18-27, Jan.-Mar. 2005.
[3] P. D. Mitcheson, E. M. Yeatman, G. K. Rao, A. S. Holmes, and T. C. Green, “Energy Harvesting From Human and Machine Motion for Wireless Electronic Devices,” Proceedings of the IEEE, vol. 96, no. 9, pp. 1457-1486, Sep. 2008.
[4] P. Ming-Zher, N. C. Swenson, and R. W. Picard, “A Wearable Sensor for Unobtrusive, Long-Term Assessment of Electrodermal Activity,” IEEE Trans. Biomedical Engineering, vol. 57, no. 5, pp. 1243-1252, May 2010.
[5] M. A. Hanson, H. C. Powell, A. T. Barth, K. Ringgenberg, B. H. Calhoun, J. H. Aylor, and J. Lach, “Body Area Sensor Networks: Challenges and Opportunities,” Computer, vol. 42, no. 1, pp. 58-65, Jan. 2009.
[6] H. Cao, V. Leung, C. Chow, and H. Chan, “Enabling technologies for wireless body area networks: A survey and outlook,” IEEE Communications Magazine, vol. 47, no. 12, pp. 84-93, Dec. 2009.
[7] M. Patel and J. Wang, “Applications, challenges, and prospective in emerging body area networking technologies,” IEEE Wireless Communications, vol. 17, no. 1, pp. 80-88, Feb. 2010.
[8] B. H. Calhoun, J. Bolus, S. Khanna, A. D. Jurik, A. C. Weaver, and T. N. Blalock, "Sub-threshold operation and cross-hierarchy design for ultra low power wearable sensors," in Proc. IEEE International Symposium on Circuits and Systems, (ISCAS), 2009, pp. 1437-1440.
[9] N. Verma, A. Shoeb, J. Bohorquez, J. Dawson, J. Guttag, and A. P. Chandrakasan, “A Micro-Power EEG Acquisition SoC With Integrated Feature Extraction Processor for a Chronic Seizure Detection System,” IEEE J. Solid-State Circuits, vol. 45, no. 4, pp. 804-816, Apr. 2010.
[10] S. C. Jocke, J. F. Bolus, S. N. Wooters, A. D. Jurik, A. C. Weaver, T. N. Blalock, and B. H. Calhoun, "A 2.6-uW sub-threshold mixed-signal ECG SoC," in Proc. Int. Symp. VLSI Circuits (ISVLSI), 2009, pp. 60-61.
[11] G. Gammie, A. Wang, H. Mair, R. Lagerquist, M. Chau, P. Royannez, S. Gururajarao, and K. Uming, “SmartReflex Power and Performance Management Technologies for 90 nm, 65 nm, and 45 nm Mobile Application Processors,” Proceedings of the IEEE, vol. 98, no. 2, pp. 144-159, Feb. 2010.
[12] B. Zhai, D. Blaauw, D. Sylvester, and K. Flautner, “The limit of dynamic voltage scaling and insomniac dynamic voltage scaling,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 13, no. 11, pp. 1239-1252, Nov. 2005.
[13] A. P. Chandrakasan, D. C. Daly, D. F. Finchelstein, J. Kwong, Y. K. Ramadass, M. E. Sinangil, V. Sze, and N. Verma, “Technologies for Ultradynamic Voltage Scaling,” Proceedings of the IEEE, vol. 98, no. 2, pp. 191-214, Feb. 2010.
[14] V. Sze and A. P. Chandrakasan, "A 0.4-V UWB baseband processor," in Proc. International Symp. Low Power Electronics and Design (ISLPED), 2007, pp. 262-267.
[15] K. Roy, S. Mukhopadhyay, and H. Mahmoodi-Meimand, “Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits,” Proceedings of the IEEE, vol. 91, no. 2, pp. 305-327, Feb. 2003.
[16] K. K. Kim, Y.-B. Kim, C. Minsu, and N. Park, “Leakage Minimization Technique for Nanoscale CMOS VLSI,” IEEE Design & Test of Computers, vol. 24, no. 4, pp. 322-330, 2007.
[17] K. K. Kim and Y.-B. Kim, “A Novel Adaptive Design Methodology for Minimum Leakage Power Considering PVT Variations on Nanoscale VLSI Systems,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 17, no. 4, pp. 517-528, Apr. 2009.
[18] Predictive Technology Model (PTM); [Online] Available: http://ptm.asu.edu/.
[19] Berkeley BSIM4 Model; [Online] Available: http://www-device.eecs.berkeley.edu/~bsim3/bsim4.html.
[20] S. Narendra, V. De, S. Borkar, D. A. Antoniadis, and A. P. Chandrakasan, “Full-chip subthreshold leakage power prediction and reduction techniques for sub-0.18-um CMOS,” IEEE J. Solid-State Circuits, vol. 39, no. 3, pp. 501-510, Mar. 2004.
[21] M. Meterelliyoz, P. Song, F. Stellari, J. P. Kulkarni, and K. Roy, “Characterization of Random Process Variations Using Ultralow-Power, High-Sensitivity, Bias-Free Sub-Threshold Process Sensor,” IEEE Trans. Circuits and Systems I: Regular Papers, vol. 57, no. 8, pp. 1838-1847, Aug. 2010.
[22] R. Kumar and V. Kursun, "Temperature-Adaptive Energy Reduction for Ultra-Low Power-Supply-Voltage Subthreshold Logic Circuits," in Proc. Int. Conf. Electronics, Circuits and Systems (ICECS), 2007, pp. 1280-1283.
[23] S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, “Adaptive Techniques for Overcoming Performance Degradation Due to Aging in CMOS Circuits,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 19, no. 4, pp. 603-614, Apr. 2011.
[24] S. Hanson, M. Seok, D. Sylvester, and D. Blaauw, "Nanometer Device Scaling in Subthreshold Circuits," in Proc. ACM/IEEE Design Automation Conference (DAC), 2007, pp. 700-705.
[25] J. Chen, L. T. Clark, and Y. Cao, "Robust design of high fan-in/out subthreshold circuits," in Proc. Int. Conf. Computer Design: VLSI in Computers and Processors (ICCD), 2005, pp. 405-410.
[26] S. Hanson, B. Zhai, K. Bernstein, D. Blaauw, A. Bryant, L. Chang, K. K. Das, W. Haensch, E. J. Nowak, and D. M. Sylvester, “Ultralow-voltage, minimum-energy CMOS,” IBM J. Research and Development, vol. 50, no. 4/5, pp. 469-490, Jul./Sep. 2006.
[27] Y. Pu, J. P. De Gyvez, H. Corporaal, and H. Yajun, "Statistical noise margin estimation for sub-threshold combinational circuits," in Proc. Asia and South Pacific Design Automation Conference (ASPDAC), 2008, pp. 176-179.
[28] S. N. Mozaffari and A. Afzali-Kusha, "Statistical model for subthreshold current considering process variations," in Proc. Asia Symp. Quality Electronic Design (ASQED), 2010, pp. 356-360.
[29] J. Keane, H. Eom, T.-H. Kim, S. Sapatnekar, and C. Kim, "Subthreshold logical effort: a systematic framework for optimal subthreshold device sizing," in Proc. Design Automation Conference (DAC), 2006, pp. 425-428.
[30] M.-E. Hwang, T. Cakici, and K. Roy, "Process Tolerant Beta-ratio Modulation for Ultra-Dynamic Voltage Scaling," in Proc. Design, Automation & Test in Europe Conference & Exhibition (DATE), 2007, pp. 1-6.
[31] H. Mair, A. Wang, G. Gammie, D. Scott, P. Royannez, S. Gururajarao, M. Chau, R. Lagerquist, L. Ho, M. Basude, N. Culp, A. Sadate, D. Wilson, F. Dahan, J. Song, B. Carlson, and U. Ko, "A 65-nm Mobile Multimedia Applications Processor with an Adaptive Power Management Scheme to Compensate for Variations," in Proc. IEEE Symp. VLSI Circuits, 2007, pp. 224-225.
[32] D. N. Truong, W. H. Cheng, T. Mohsenin, Z. Yu, A. T. Jacobson, G. Landge, M. J. Meeuwsen, C. Watnik, A. T. Tran, X. Zhibin, E. W. Work, J. W. Webb, P. V. Mejia, and B. M. Baas, “A 167-Processor Computational Platform in 65 nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 4, pp. 1130-1144, Apr. 2009.
[33] J. Howard, S. Dighe, S. R. Vangal, G. Ruhl, N. Borkar, S. Jain, V. Erraguntla, M. Konow, M. Riepen, M. Gries, G. Droege, T. Lund-Larsen, S. Steibl, S. Borkar, V. K. De, and R. Van Der Wijngaart, “A 48-Core IA-32 Processor in 45 nm CMOS Using On-Die Message-Passing and DVFS for Performance and Power Scaling,” IEEE J. Solid-State Circuits, vol. 46, no. 1, pp. 173-183, Jan. 2011.
[34] S. Dighe, S. R. Vangal, P. Aseron, S. Kumar, T. Jacob, K. A. Bowman, J. Howard, J. Tschanz, V. Erraguntla, N. Borkar, V. K. De, and S. Borkar, “Within-Die Variation-Aware Dynamic-Voltage-Frequency-Scaling With Optimal Core Allocation and Thread Hopping for the 80-Core TeraFLOPS Processor,” IEEE J. Solid-State Circuits, vol. 46, no. 1, pp. 184-193, Jan. 2011.
[35] K. Roy, J. P. Kulkarni, and M.-E. Hwang, "Process-Tolerant Ultralow Voltage Digital Subthreshold Design," in Proc. Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF), 2008, pp. 42-45.
[36] J. Kwong, Y. K. Ramadass, N. Verma, and A. P. Chandrakasan, “A 65 nm Sub-Vt Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter,” IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 115-126, Jan. 2009.
[37] J. T. Kao, M. Miyazaki, and A. R. Chandrakasan, “A 175-MV multiply-accumulate unit using an adaptive supply voltage and body bias architecture,” IEEE J. Solid-State Circuits, vol. 37, no. 11, pp. 1545-1554, Nov. 2002.
[38] M. Hempstead, N. Tripathi, P. Mauro, G.-Y. Wei, and D. Brooks, "An ultra low power system architecture for sensor network applications," in Proc. Int. Symp. Computer Architecture (ISCA), 2005, pp. 208-219.
[39] B. H. Calhoun, D. C. Daly, N. Verma, D. F. Finchelstein, D. D. Wentzloff, A. Wang, S.-H. Cho, and A. P. Chandrakasan, “Design considerations for ultra-low energy wireless microsensor nodes,” IEEE Trans. Computers, vol. 54, no. 6, pp. 727-740, Jun. 2005.
[40] A. Wang and A. Chandrakasan, “A 180-mV subthreshold FFT processor using a minimum energy design methodology,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 310-319, Jan. 2005.
[41] L. Chang, D. J. Frank, R. K. Montoye, S. J. Koester, B. L. Ji, P. W. Coteus, R. H. Dennard, and W. Haensch, “Practical Strategies for Power-Efficient Computing Technologies,” Proceedings of the IEEE, vol. 98, no. 2, pp. 215-236, Feb. 2010.
[42] F. I. Simjee and P. H. Chou, “Efficient Charging of Supercapacitors for Extended Lifetime of Wireless Sensor Nodes,” IEEE Trans. Power Electronics, vol. 23, no. 3, pp. 1526-1536, May 2008.
[43] M. T. Penella and M. Gasulla, “Runtime Extension of Low-Power Wireless Sensor Nodes Using Hybrid-Storage Units,” IEEE Trans. Instrumentation and Measurement, vol. 59, no. 4, pp. 857-865, Apr. 2010.
[44] D. Brunelli and L. Benini, "Designing and managing sub-milliwatt energy harvesting nodes: Opportunities and challenges," in Proc. Int. Conf. Wireless VITAE, 2009, pp. 11-15.
[45] X. Zhang, H. Jiang, L. Zhang, C. Zhang, Z. Wang, and X. Chen, “An Energy-Efficient ASIC for Wireless Body Sensor Networks in Medical Applications,” IEEE Trans. Biomedical Circuits and Systems, vol. 4, no. 1, pp. 11-18, Feb. 2010.
[46] H.-U. Kim, W.-H. Lee, H. V. R. Dias, and S. Priya, “Piezoelectric Microgenerators-Current Status and Challenges,” IEEE Trans. Ultrasonics, Ferroelectrics and Frequency Control, vol. 56, no. 8, pp. 1555-1568, Aug. 2009.
[47] S. Roundy, E. S. Leland, J. Baker, E. Carleton, E. Reilly, E. Lai, B. Otis, J. M. Rabaey, P. K. Wright, and V. Sundararajan, “Improving power output for vibration-based energy scavengers,” IEEE Pervasive Computing, vol. 4, no. 1, pp. 28-36, Jan.-Mar. 2005.
[48] A. Kansal, J. Hsu, S. Zahedi, and M. B. Srivastava, “Power management in energy harvesting sensor networks,” ACM Trans. Embed. Comput. Syst., vol. 6, no. 4, Article 32, Sep. 2007.
[49] Y. Levron, D. Shmilovitz, and L. Martinez-Salamero, “A Power Management Strategy for Minimization of Energy Storage Reservoirs in Wireless Systems With Energy Harvesting,” IEEE Trans. Circuits and Systems I: Regular Papers, vol. 58, no. 3, pp. 633-643, Mar. 2011.
[50] C. Moser, L. Thiele, D. Brunelli, and L. Benini, “Adaptive Power Management for Environmentally Powered Systems,” IEEE Trans. Computers, vol. 59, no. 4, pp. 478-491, Apr. 2010.
[51] J. D. Meindl and J. A. Davis, “The fundamental limit on binary switching energy for terascale integration (TSI),” IEEE J. Solid-State Circuits, vol. 35, no. 10, pp. 1515-1516, Oct. 2000.
[52] R. M. Swanson and J. D. Meindl, “Ion-implanted complementary MOS transistors in low-voltage circuits,” IEEE J. Solid-State Circuits, vol. 7, no. 2, pp. 146-153, Apr. 1972.
[53] G. Ono and M. Miyazaki, “Threshold-voltage balance for minimum supply operation [LV CMOS chips],” IEEE J. Solid-State Circuits, vol. 38, no. 5, pp. 830-833, May 2003.
[54] Y. Pu, J. De Jesus Pineda De Gyvez, H. Corporaal, and H. Yajun, "Vt balancing and device sizing towards high yield of sub-threshold static logic gates," in Proc. Int. Symp. Low Power Electronics and Design (ISLPED), 2007, pp. 355-358.
[55] A. Agarwal, K. Kang, S. Bhunia, J. D. Gallagher, and K. Roy, “Device-Aware Yield-Centric Dual-Vt Design Under Parameter Variations in Nanoscale Technologies,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 15, no. 6, pp. 660-671, Jun. 2007.
[56] T.-H. Kim, J. Keane, H. Eom, and C. H. Kim, “Utilizing Reverse Short-Channel Effect for Optimal Subthreshold Circuit Design,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 15, no. 7, pp. 821-829, Jul. 2007.
[57] S. Narendra, V. De, D. Antoniadis, A. Chandrakasan, and S. Borkar, “Scaling of stack effect and its application for leakage reduction,” in Int. Symp. Low power electronics and design (ISLPED), 2001, pp. 195-200.
[58] B. H. Calhoun and A. P. Chandrakasan, “Standby power reduction using dynamic voltage scaling and canary flip-flop structures,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1504-1511, Sep. 2004.
[59] J. Wang and B. H. Calhoun, “Techniques to Extend Canary-Based Standby VDD Scaling for SRAMs to 45 nm and Beyond,” IEEE J. Solid-State Circuits, vol. 43, no. 11, pp. 2514-2523, Nov. 2008.
[60] S. Das, D. Roberts, S. Lee, S. Pant, D. Blaauw, T. Austin, K. Flautner, and T. Mudge, “A self-tuning DVS processor using delay-error detection and correction,” IEEE J. Solid-State Circuits, vol. 41, no. 4, pp. 792-804, Apr. 2006.
[61] S. Das, C. Tokunaga, S. Pant, W. H. Ma, S. Kalaiselvan, K. Lai, D. M. Bull, and D. T. Blaauw, “RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance,” IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 32-48, Jan. 2009.
[62] M. Meijer, F. Pessolano, and J. P. De Gyvez, "Limits to performance spread tuning using adaptive voltage and body biasing," in Proc. IEEE Int. Symp. Circuits and Systems (ISCAS), 2005, pp. 5-8
[63] T. Chen and S. Naffziger, “Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 11, no. 5, pp. 888-899, Nov. 2003.
[64] J. W. Tschanz, S. Narendra, R. Nair, and V. De, “Effectiveness of adaptive supply voltage and body bias for reducing impact of parameter variations in low power and high performance microprocessors,” IEEE J. Solid-State Circuits, vol. 38, no. 5, pp. 826-829, May 2003.
[65] G. Paci, D. Bertozzi, and L. Benini, "Effectiveness of adaptive supply voltage and body bias as post-silicon variability compensation techniques for full-swing and low-swing on-chip communication channels," in Proc. Design, Automation & Test in Europe Conference & Exhibition (DATE), 2009, pp. 1404-1409.
[66] J. W. Tschanz, J. T. Kao, S. G. Narendra, R. Nair, D. A. Antoniadis, A. P. Chandrakasan, and V. De, “Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage,” IEEE J. Solid-State Circuits, vol. 37, no. 11, pp. 1396-1402, Nov. 2002.
[67] S. Mukhopadhyay, K. Keejong, H. Mahmoodi, and K. Roy, “Design of a Process Variation Tolerant Self-Repairing SRAM for Yield Enhancement in Nanoscaled CMOS,” IEEE J. Solid-State Circuits, vol. 42, no. 6, pp. 1370-1382, Jun. 2007.
[68] S. Narendra, A. Keshavarzi, B. A. Bloechel, S. Borkar, and V. De, “Forward body bias for microprocessors in 130-nm technology generation and beyond,” IEEE J. Solid-State Circuits, vol. 38, no. 5, pp. 696-701, May 2003.
[69] A. Hokazono, S. Balasubramanian, K. Ishimaru, H. Ishiuchi, C. Hu, and T. J. K. Liu, “Forward Body Biasing as a Bulk-Si CMOS Technology Scaling Strategy,” IEEE Trans. Electron Devices, vol. 55, no. 10, pp. 2657-2664, Oct. 2008.
[70] X. He, S. Al-Kadry, and A. Abdollahi, "Adaptive leakage control on body biasing for reducing power consumption in CMOS VLSI circuit," in Proc. Int. Symp. Quality Electronic Design (ISQED), 2009, pp. 465-470.
[71] G. Gammie, A. Wang, M. Chau, S. Gururajarao, R. Pitts, F. Jumel, S. Engel, P. Royannez, R. Lagerquist, H. Mair, J. Vaccani, G. Baldwin, K. Heragu, R. Mandal, M. Clinton, D. Arden, and U. Ko, "A 45nm 3.5G Baseband-and-Multimedia Application Processor using Adaptive Body-Bias and Ultra-Low-Power Techniques," IEEE Int. Solid-State Circuits Conference (ISSCC) Digest Tech. Papers, 2008, pp. 258-611.
[72] S. Mukhopadhyay, H. Mahmoodi, and K. Roy, “Reduction of Parametric Failures in Sub-100-nm SRAM Array Using Body Bias,” IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 1, pp. 174-183, Jan. 2008.
[73] S. Narendra, D. Antoniadis, and V. De, "Impact of using adaptive body bias to compensate die-to-die Vt variation on within-die Vt variation," in Proc. Int. Symp. Low Power Electronics and Design (ISLPED), 1999, pp. 229-232.
[74] J. Tschanz, N. S. Kim, S. Dighe, J. Howard, G. Ruhl, S. Vanga, S. Narendra, Y. Hoskote, H. Wilson, C. Lam, M. Shuman, C. Tokunaga, D. Somasekhar, S. Tang, D. Finan, T. Karnik, N. Borkar, N. Kurd, and V. De, "Adaptive Frequency and Biasing Techniques for Tolerance to Dynamic Temperature-Voltage Variations and Aging," IEEE Int. Solid-State Circuits Conference (ISSCC) Digest Tech. Papers, 2007, pp. 292-604.
[75] Y. Komatsu, K. Ishibashi, M. Yamamoto, T. Tsukada, K. Shimazaki, M. Fukazawa, and M. Nagata, "Substrate-noise and random-fluctuations reduction with self-adjusted forward body bias," in Proc. Custom Integrated Circuits Conference (CICC), 2005, pp. 35-38.
[76] Y. Pu, J. Pineda De Gyvez, H. Corporaal, and H. Yajun, “An Ultra-Low-Energy Multi-Standard JPEG Co-Processor in 65 nm CMOS With Sub/Near Threshold Supply Voltage,” IEEE J. Solid-State Circuits, vol. 45, no. 3, pp. 668-680, Mar. 2010.
[77] S. Hanson, B. Zhai, S. Mingoo, B. Cline, K. Zhou, M. Singhal, M. Minuth, J. Olson, L. Nazhandali, T. Austin, D. Sylvester, and D. Blaauw, “Exploring Variability and Performance in a Sub-200-mV Processor,” IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 881-891, Apr. 2008.
[78] J. Gregg and T. W. Chen, “Post Silicon Power/Performance Optimization in the Presence of Process Variations Using Individual Well-Adaptive Body Biasing,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 15, no. 3, pp. 366-376, Mar. 2007.
[79] A. Sathanur, A. Pullini, L. Benini, G. De Micheli, and E. Macii, "Physically clustered forward body biasing for variability compensation in nanometer CMOS design," in Proc. Design, Automation & Test in Europe Conference & Exhibition (DATE), 2009, pp. 154-159.
[80] S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, “Body Bias Voltage Computations for Process and Temperature Compensation,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 16, no. 3, pp. 249-262, Mar. 2008.
[81] R. Teodorescu, J. Nakano, A. Tiwari, and J. Torrellas, "Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing," in Proc. Int. Symp. Microarchitecture (MICRO), 2007, pp. 27-42.
[82] A. Ghosh, R. M. Rao, C.-T. Chuang, and R. B. Brown, "On-Chip Process Variation Detection and Compensation Using Delay and Slew-Rate Monitoring Circuits," in Proc. Int. Symp. Quality Electronic Design (ISQED), 2008, pp. 815-820.
[83] N. Azizi and F. N. Najm, "Using Keeper Control and Body Bias for Fine Grained Threshold Voltage Compensation in Dynamic Logic," in Proc. Canadian Conf. Electrical and Computer Engineering (CCECE), 2007, pp. 1639-1644.
[84] M. Fujii, H. Suzuki, H. Notani, H. Makino, and H. Shinohara, "On-chip leakage monitor circuit to scan optimal reverse bias voltage for adaptive body-bias circuit under gate induced drain leakage effect," in Proc. European Solid-State Circuits Conference (ESSCIRC) 2008, pp. 258-261.
[85] Y. Tsugita, K. Ueno, T. Asai, Y. Amemiya, and T. Hirose, "On-chip PVT compensation techniques for low-voltage CMOS digital LSIs," in Proc. Int. Symp. Circuits and Systems (ISCAS), 2009, pp. 1565-1568.
[86] P. B. Bacinschi, T. Murgan, K. Koch, and M. Glesner, "An Analog On-Chip Adaptive Body Bias Calibration for Reducing Mismatches in Transistor Pairs," in Proc. Design, Automation and Test in Europe (DATE), 2008, pp. 698-703.
[87] T. Kuroda, T. Fujita, S. Mita, T. Nagamatsu, S. Yoshioka, K. Suzuki, F. Sano, M. Norishima, M. Murota, M. Kako, M. Kinugawa, M. Kakumu, and T. Sakurai, “A 0.9-V, 150-MHz, 10-mW, 4 mm2, 2-D discrete cosine transform core processor with variable threshold-voltage (VT) scheme,” IEEE J. Solid-State Circuits, vol. 31, no. 11, pp. 1770-1779, Nov. 1996.
[88] K. Kang, K. Kim, and K. Roy, "Variation Resilient Low-Power Circuit Design Methodology using On-Chip Phase Locked Loop," in Proc. Design Automation Conference (DAC), 2007, pp. 934-939.
[89] M. Miyazaki, G. Ono, and T. Kawahara, "Optimum threshold-voltage tuning for low-power, high-performance microprocessor," in Proc. Int. Symp. Circuits and Systems (ISCAS), 2005, pp. 17-20.
[90] C. Byunghee and S. Youngsoo, "Lookup Table-Based Adaptive Body Biasing of Multiple Macros," in Proc. Int. Symp. Quality Electronic Design (ISQED), 2007, pp. 533-538.
[91] L. A. P. Melek, M. C. Schneider, and C. Galup-Montoro, "Body-bias compensation technique for subthreshold CMOS static logic gates," in Proc. Symp. Integrated Circuits and Systems Design (SBCCI), 2004, pp. 267-272.
[92] A. Bryant, J. Brown, P. Cottrell, M. Ketchen, J. Ellis-Monaghan, and E. J. Nowak, "Low-power CMOS at Vdd=4kT/q," in Proc. Device Research Conference, 2001, pp. 22-23.
[93] K. Granhaug, S. Aunet, and T. S. Lande, "Body-bias regulator for ultra low power multifunction CMOS gates," in Proc. Int. Symp. Circuits and Systems (ISCAS), 2006, pp. 1255-1258.
[94] Z. Liu and V. Kursun, “Leakage Power Characteristics of Dynamic Circuits in Nanometer CMOS Technologies,” IEEE Trans. Circuits and Systems II: Express Briefs, vol. 53, no. 8, pp. 692-696, Aug. 2006.
[95] H. Ananthan, C. H. Kim, and K. Roy, "Larger-than-Vdd Forward Body Bias in Sub-0.5V Nanoscale CMOS," in Proc. Int. Symp. Low Power Electronics and Design (ISLPED), 2004, pp. 8-13.
[96] F. Tachibana, H. Sato, T. Yamashita, H. Hara, T. Kitahara, S. Nomura, F. Yamane, Y. Tsuboi, K. Seki, S. Matsumoto, Y. Watanabe, and M. Hamada, "A process variation compensation scheme using cell-based forward body-biasing circuits usable for 1.2V design," in Proc. Custom Integrated Circuits Conference (CICC), 2008, pp. 29-32.
[97] A. J. Bhavnagarwala, X. Tang, and J. D. Meindl, “The impact of intrinsic device fluctuations on CMOS SRAM cell stability,” IEEE J. Solid-State Circuits, vol. 36, no. 4, pp. 658-665, Apr. 2001.
[98] S. Mukhopadhyay, H. Mahmoodi, and K. Roy, “Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS,” IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no. 12, pp. 1859-1880, Dec. 2005.
[99] A. Agarwal, B. C. Paul, S. Mukhopadhyay, and K. Roy, “Process variation in embedded memories: failure analysis and variation aware architecture,” IEEE J. Solid-State Circuits, vol. 40, no. 9, pp. 1804-1814, Sep. 2005.
[100] I. J. Chang, J.-J. Kim, S. P. Park, and K. Roy, “A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 650-658, Feb. 2009.
[101] M.-F. Chang, S.-W. Chang, P.-W. Chou, and W.-C. Wu, “A 130 mV SRAM With Expanded Write and Read Margins for Subthreshold Applications,” IEEE J. Solid-State Circuits, vol. 46, no. 2, pp. 520-529, Feb. 2011.
[102] J. J. Wu, Y. H. Chen, M. F. Chang, P. W. Chou, C. Y. Chen, H. J. Liao, M. B. Chen, Y. H. Chu, W. C. Wu, and H. Yamauchi, “A Large σVTH/VDD Tolerant Zigzag 8T SRAM With Area-Efficient Decoupled Differential Sensing and Fast Write-Back Scheme,” IEEE J. Solid-State Circuits, vol. 46, no. 4, pp. 815-827, Apr. 2011.
[103] N. Verma and A. P. Chandrakasan, “A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy,” IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 141-149, Jan. 2008.
[104] T.-H. Kim, J. Liu, J. Keane, and C. H. Kim, “A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing,” IEEE J. Solid-State Circuits, vol. 43, no. 2, pp. 518-529, Feb. 2008.
[105] A. Raychowdhury, B. Geuskens, J. Kulkarni, J. Tschanz, K. Bowman, T. Karnik, S.-L. Lu, V. De, and M. M. Khellah, "PVT-and-aging adaptive wordline boosting for 8T SRAM power reduction," IEEE Int. Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, pp. 352-353.
[106] B. H. Calhoun and A. P. Chandrakasan, “A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation,” IEEE J. Solid-State Circuits, vol. 42, no. 3, pp. 680-688, Mar. 2007.
[107] T.-H. Kim, J. Liu, and C. H. Kim, “A Voltage Scalable 0.26 V, 64 kb 8T SRAM With Vmin Lowering Techniques and Deep Sleep Mode,” IEEE J. Solid-State Circuits, vol. 44, no. 6, pp. 1785-1795, Jun. 2009.
[108] M. F. Chang, S. M. Yang, and K. T. Chen, “Wide VDD Embedded Asynchronous SRAM With Dual-Mode Self-Timed Technique for Dynamic Voltage Systems,” IEEE Trans. Circuits and Systems I: Regular Papers, vol. 56, no. 8, pp. 1657-1667, Aug. 2009.
[109] N. Verma and A. P. Chandrakasan, “A High-Density 45 nm SRAM Using Small-Signal Non-Strobed Regenerative Sensing,” IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 163-173, Jan. 2009.
[110] E. Karl, D. Sylvester, and D. Blaauw, "Timing error correction techniques for voltage-scalable on-chip memories," in Proc. IEEE Int. Symp. Circuits and Systems (ISCAS), 2005, pp. 3563-3566.
[111] V. Ramadurai, H. Pilo, J. Andersen, G. Braceras, J. Gabric, D. Geise, S. Lamphier, and Y. Tan, “An 8 Mb SRAM in 45 nm SOI Featuring a Two-Stage Sensing Scheme and Dynamic Power Management,” IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 155-162, Jan. 2009.
[112] K. Itoh and M. Horiguchi, “Low-voltage scaling limitations for nano-scale CMOS LSIs,” Solid-State Electronics, vol. 53, no. 4, pp. 402-410, Apr. 2009.
[113] N. Verma, "Analysis Towards Minimization of Total SRAM Energy Over Active and Idle Operating Modes," IEEE Trans. Very Large Scale Integration (VLSI) Systems, to be published, pp. 1-9.
[114] M. Qazi, K. Stawiasz, L. Chang, and A. P. Chandrakasan, “A 512kb 8T SRAM Macro Operating Down to 0.57V With an AC-Coupled Sense Amplifier and Embedded Data-Retention-Voltage Sensor in 45nm SOI CMOS,” IEEE J. Solid-State Circuits, vol. 46, no. 1, pp. 85-96, Jan. 2011.
[115] S. M. Jahinuzzaman, M. Sharifkhani, and M. Sachdev, “An Analytical Model for Soft Error Critical Charge of Nanometric SRAMs,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 17, no. 9, pp. 1187-1195, Sep. 2009.
[116] H. Mostafa, M. H. Anis, and M. Elmasry, “Analytical Soft Error Models Accounting for Die-to-Die and Within-Die Variations in Sub-Threshold SRAM Cells,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 19, no. 2, pp. 182-195, Feb. 2011.
[117] M. Sharifkhani and M. Sachdev, “SRAM Cell Stability: A Dynamic Perspective,” IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 609-619, Feb. 2009.
[118] S. T. Eid, M. Whately, and S. Krishnegowda, "A microcontroller-based PVT control system for a 65nm 72Mb synchronous SRAM," IEEE Int. Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, 2010, pp. 184-185.
[119] S. Ishikura, M. Kurumada, T. Terano, Y. Yamagami, N. Kotani, K. Satomi, K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, T. Oashi, H. Makino, H. Shinohara, and H. Akamatsu, “A 45 nm 2-port 8T-SRAM Using Hierarchical Replica Bitline Technique With Immunity From Simultaneous R/W Access Issues,” IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 938-945, Apr. 2008.
[120] S. Rodrigues and M. S. Bhat, "Impact of Process Variation Induced Transistor Mismatch on Sense Amplifier Performance," in Proc. Int. Conf. Advanced Computing and Communications (ADCOM), 2006, pp. 497-502.
[121] B. S. Amrutur and M. A. Horowitz, “A replica technique for wordline and sense control in low-power SRAM's,” IEEE J. Solid-State Circuits, vol. 33, no. 8, pp. 1208-1219, Aug. 1998.
[122] M. Buhler, J. Koehl, J. Bickford, J. Hibbeler, U. Schlichtmann, R. Sommer, M. Pronath, and A. Ripp, "DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - influence of process variations in digital, analog and mixed-signal circuit design," in Proc. Design, Automation and Test in Europe (DATE), 2006, pp. 1-6.
[123] K. Agawa, H. Hara, T. Takayanagi, and T. Kuroda, “A bitline leakage compensation scheme for low-voltage SRAMs,” IEEE J. Solid-State Circuits, vol. 36, no. 5, pp. 726-734, May 2001.
[124] U. Arslan, M. P. Mccartney, M. Bhargava, X. Li, K. Mai, and L. T. Pileggi, "Variation-tolerant SRAM sense-amplifier timing using configurable replica bitlines," in Proc. Custom Integrated Circuits Conference (CICC), 2008, pp. 415-418.
[125] Y.-C. Lai and S.-Y. Huang, “Robust SRAM Design via BIST-Assisted Timing-Tracking (BATT),” IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 642-649, Feb. 2009.
[126] K. Osada, J. L. Shin, M. Khan, Y. Liou, K. Wang, K. Shoji, K. Kuroda, S. Ikeda, and K. Ishibashi, “Universal-Vdd 0.65-2.0-V 32-kB cache using a voltage-adapted timing-generation scheme and a lithographically symmetrical cell,” IEEE J. Solid-State Circuits, vol. 36, no. 11, pp. 1738-1744, Nov. 2001.
[127] T. Suzuki, S. Nakahara, S. Iwahashi, K. Higeta, K. Kanetani, H. Nambu, M. Yoshida, and K. Yamaguchi, "Programmable and automatically-adjustable sense-amplifier activation scheme and multi-reset address-driven decoding scheme for high-speed reusable SRAM core," in IEEE Symp. VLSI Circuits Digest of Technical Papers 2002, pp. 44-45.
[128] I. Arsovski and R. Wistort, "Self-referenced sense amplifier for across-chip-variation immune sensing in high-performance Content-Addressable Memories," in Proc. Custom Integrated Circuits Conference (CICC), 2006, pp. 453-456.
[129] S. A. Verkila, S. K. Bondada, and B. S. Amrutur, "A 100MHz to 1GHz, 0.35V to 1.5V Supply 256 x 64 SRAM Block Using Symmetrized 9T SRAM Cell with Controlled Read," in Proc. Int. Conf. VLSI Design (VLSID), 2008, pp. 560-565.
[130] K. Usami, M. Igarashi, F. Minami, T. Ishikawa, M. Kanzawa, M. Ichida, and K. Nogami, “Automated low-power technique exploiting multiple supply voltages applied to a media processor,” IEEE J. Solid-State Circuits, vol. 33, no. 3, pp. 463-472, Mar. 1998.
[131] S. H. Kulkarni and D. Sylvester, “High performance level conversion for dual VDD design,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 12, no. 9, pp. 926-936, Sep. 2004.
[132] V. G. Oklobdzija, “Clocking and clocked storage elements in a multi-gigahertz environment,” IBM J. Research and Development, vol. 47, no. 5.6, pp. 567-583, 2003.
[133] J. Tschanz, S. Narendra, Z. Chen, S. Borkar, M. Sachdev, and V. De, "Comparative delay and energy of single edge-triggered and dual edge-triggered pulsed flip-flops for high-performance microprocessors," in Proc. Int. Symp. Low Power Electronics and Design (ISLPED), 2001, pp. 147-152.
[134] P. E. Gronowski, W. J. Bowhill, R. P. Preston, M. K. Gowan, and R. L. Allmon, “High-performance microprocessor design,” IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 676-686, May 1998.
[135] N. Nedovic and V. G. Oklobdzija, “Dual-edge triggered storage elements and clocking strategy for low-power systems,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 13, no. 5, pp. 577-590, May 2005.
[136] H. Mahmoodi-Meimand and K. Roy, "Self-precharging flip-flop (SPFF): A new level converting flip-flop," in Proc. European Solid-State Circuits Conference (ESSCIRC), 2002, pp. 407-410.
[137] F. Ishihara, F. Sheikh, and B. Nikolic, “Level conversion for dual-supply systems,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 12, no. 2, pp. 185-195, Feb. 2004.
[138] P. Zhao, G. Pradeep Kumar, C. Archana, and M. Bayoumi, "A double-edge implicit-pulsed level convert flip-flop," in Proc. IEEE Computer society Annual Symp. VLSI, 2004, pp. 141-144.
[139] H. S. Park, B. H. Lee, and Y. H. Kim, “Level Converting Flip-Flops for High-Speed and Low-Power Applications,” IEICE Trans. Fundam. Electron. Commun. Comput. Sci., vol. E89-A, no. 6, pp. 1740-1743, 2006.
[140] J. Kao and A. Chandrakasan, "MTCMOS sequential circuits," in Proc. European Solid-State Circuits Conference (ESSCIRC), 2001, pp. 317-320.
[141] H. Mahmoodi-Meimand and K. Roy, "Dual-edge triggered level converting flip-flops," in Proc. Int. Symp. Circuits and Systems (ISCAS), 2004, pp. II-661-664.
[142] D. Markovic, B. Nikolic, and R. W. Brodersen, "Analysis and design of low-energy flip-flops," in Proc. Int. Symp. Low Power Electronics and Design (ISLPED), 2001, pp. 52-55.
[143] A. Hasanbegovic and S. Aunet, "Low-power subthreshold to above threshold level shifter in 90 nm process," in Proc. Conf. NORCHIP, 2009, pp. 1-4.
[144] Y.-S. Lin and D. M. Sylvester, "Single stage static level shifter design for subthreshold to I/O voltage conversion," in Proc. Int. Symp. Low Power Electronics and Design (ISLPED), 2008, pp. 197-200.
[145] I. J. Chang, J.-J. Kim, and K. Roy, "Robust Level Converter Design for Sub-threshold Logic," in Proc. Int. Symp. Low Power Electronics and Design (ISLPED), 2006, pp. 14-19.
[146] S. Lutkemeier and U. Ruckert, “A Subthreshold to Above-Threshold Level Shifter Comprising a Wilson Current Mirror,” IEEE Trans. Circuits and Systems II: Express Briefs, vol. 57, no. 9, pp. 721-724, Sep. 2010.
[147] S. N. Wooters, B. H. Calhoun, and T. N. Blalock, “An Energy-Efficient Subthreshold Level Converter in 130-nm CMOS,” IEEE Trans. Circuits and Systems II: Express Briefs, vol. 57, no. 4, pp. 290-294, Apr. 2010.