| 研究生: |
陳家諧 Chen, Jia-Sie |
|---|---|
| 論文名稱: |
應用於測試資料壓縮的高效未知值遮罩技術 A Highly Efficient X-masking Scheme for Test Data Compression |
| 指導教授: |
李昆忠
Lee, Kuen-Jong |
| 學位類別: |
碩士 Master |
| 系所名稱: |
電機資訊學院 - 電機工程學系 Department of Electrical Engineering |
| 論文出版年: | 2025 |
| 畢業學年度: | 113 |
| 語文別: | 英文 |
| 論文頁數: | 56 |
| 中文關鍵詞: | 未知值遮罩架構 、測試壓縮架構 、可測試性設計 |
| 外文關鍵詞: | X-masking, Test Compression, Design-for-Testability (DFT) |
| 相關次數: | 點閱:4 下載:0 |
| 分享至: |
| 查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報 |
隨著超大型積體電路(VLSI)技術的迅速發展,電路的複雜度與運作速度大幅提升,使得電路測試面臨更嚴峻的挑戰。同時,測試回應中的未知值(X-values)也日益增加,嚴重影響測試品質與準確性。本文提出一種新穎的未知值遮罩(X-masking)技術,能在維持高測試品質的同時,有效降低面積開銷與測試資料量。我們所提出的高效未知值遮罩架構,結合了掃描鍊分組與掃描鍊分區技術,能完整過濾未知值,並提升可觀察性。此外,本文設計了一種測試向量模式單元,有效減少測試向量中額外控制位元所帶來的開銷。多組基準電路的實驗結果顯示,本文所提方案在測試資料量、測試覆蓋率以及面積開銷方面均優於現有技術。
The rapid advancements in VLSI technology have led to increased circuit complexity and speeds, making circuit testing significantly challenging. Additionally, the number of unknown values (X) has increased, which may severely degrade test quality and accuracy. This paper proposes a novel X-masking scheme that achieves high test quality with low area overhead and reduced test data volume. An efficient X-masking architecture together with novel scan grouping and scan chain partitioning successfully filter out unknown values completely while enhancing observability. Furthermore, a pattern mode unit is designed to effectively reduce the overhead of additional control bits in test patterns. Experimental results demonstrate that the proposed scheme outperforms existing techniques regarding test data volume, test coverage, and area overhead.
[1] S. Mitra and K. Kee Sup, "X-compact: an efficient response compaction technique," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, no. 3, pp. 421-432, March. March 2004.
[2] J. Rajski, J. Tyszer, W. Chen, and S. M. Reddy, "Finite memory test response compactors for embedded test applications," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no. 4, pp. 622-634, April 2005.
[3] S. Wang, K. J. Balakrishnan, and W. Wei, "X-Block: An Efficient LFSR Reseeding-Based Method to Block Unknowns for Temporal Compactors," IEEE Transactions on Computers, vol. 57, no. 7, pp. 978-989, July 2008.
[4] W. Chen, S. M. Reddy, I. Pomeranz, J. Rajski, and J. Tyszer, "On compacting test response data containing unknown values," in Proc. International Conference on Computer Aided Design, San Jose, CA, USA, 2003, pp. 855-862.
[5] J. H. Patel, S. S. Lumetta, and S. M. Reddy, "Application of Saluja-Karpovsky compactors to test responses with many unknowns," in Proc. 21st VLSI Test Symposium, Napa, CA, USA, 2003, pp. 107-112.
[6] S. Mitra, S. S. Lumetta, and M. Mitzenmacher, "X-tolerant signature analysis," in Proc. International Conference on Test, 2004, pp. 432-441.
[7] T. Clouqueur, Z. Kamran, K. K. Saluja, and H. Fujiwara, "Design and analysis of multiple weight linear compactors of responses containing unknown values," in Proc. IEEE International Conference on Test, Austin, TX, USA, 2005, pp. 1097 -1108.
[8] S. Manish and C. Wu-Tung, "X-filter: filtering unknowns from compacted test responses," in Proc. IEEE International Conference on Test, Austin, TX, USA, 2005, pp. 1090-1098.
[9] J. Rajski and J. Tyszer, "Synthesis of X-tolerant convolutional compactors," in Proc. 23rd IEEE VLSI Test Symposium, Palm Springs, CA, USA, 2005, pp. 114-119.
[10] N. A. Touba, "X-canceling MISR — An X-tolerant methodology for compacting output responses with unknowns using a MISR," in Proc. IEEE International Test Conference, Santa Clara, CA, USA, 2007, pp. 1-10.
[11] P. Wohl et al., "Minimizing the Impact of Scan Compression," in Proc. 25th IEEE VLSI Test Symposium, Berkeley, CA, USA, 2007, pp. 67-74.
[12] R. Garg, R. Putman, and N. A. Touba, "Increasing Output Compaction in Presence of Unknowns Using an X-Canceling MISR with Deterministic Observation," in 26th IEEE VLSI Test Symposium, San Diego, CA, USA, 2008, pp. 35-42.
[13] J. H. Kang, N. A. Touba, and J. S. Yang, "Reducing control bit overhead for X-masking/X-canceling hybrid architecture via pattern partitioning," in Proc. 53nd ACM/EDAC/IEEE Design Automation Conference, 2016, pp. 1-6.
[14] J. S. Yang, J. Chung, and N. A. Touba, "Enhancing Superset X-Canceling Method With Relaxed Constraints on Fault Observation," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 35, no. 2, pp. 298-308, Feb. 2016.
[15] Y. Liu et al., "X-Tolerant Compactor maXpress for In-System Test Applications With Observation Scan," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 29, no. 8, pp. 1553-1566, Aug. 2021.
[16] A. S. Harith, Y. Liu, N. Mukherjee, and J. Mayer, "X-Tolerant Logic BIST for Automotive Designs using Observation Scan Technology," in Proc. 2024 37th International Conference on VLSI Design and 2024 23rd International Conference on Embedded Systems (VLSID), Kolkata, India, 2024, pp. 718-723.
[17] V. Chickermane, B. Foutz, and B. Keller, "Channel masking synthesis for efficient on-chip test compression," in Proc. International Conference on Test, Charlotte, NC, USA, 2004, pp. 452-461.
[18] T. Yuyi et al., "X-masking during logic BIST and its impact on defect coverage," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 14, no. 2, pp. 193-202, Feb. 2006.
[19] S. E. Kim, J. Chung, and J. S. Yang, "Mitigating Observability Loss of Toggle-Based X-Masking via Scan Chain Partitioning," IEEE Transactions on Computers, vol. 67, no. 8, pp. 1184-1192, Aug. 2018.
[20] A. Chandra and R. Kapur, "Interval Based X-Masking for Scan Compression Architectures," in Proc. 9th International Symposium on Quality Electronic Design, San Jose, CA, USA, 2008, pp. 821-826.
[21] O. Sinanoglu, "Toggle-Based Masking Scheme for Clustered Unknown Response Bits," in Proc. Sixteenth IEEE European Test Symposium, Trondheim, Norway, 2011, pp. 105-110.
[22] S. Xu and T. Reungpeerakul, "A selective X-masking for test responses in the presence of unknown values," in Proc. 8th Electr. Eng./Electron. Comput. Telecommun. Inf. Technol. (ECTI) Assoc. Thailand-Conf, Khon Kaen, Thailand, 2011, pp. 159-162.
[23] P. Wohl, J. A. Waicukauski, F. Neuveux, and J. E. Colburn, "Hybrid selector for high-X scan compression," in Proc. IEEE International Test Conference, Anaheim, CA, USA, 2012, pp. 1-10.
[24] H. Chae and J. S. Yang, "Test Cost Reduction for X-Value Elimination By Scan Slice Correlation Analysis," in 55th ACM/ESDA/IEEE Design Automation Conference, San Francisco, CA, USA, 2018, pp. 1-6.
[25] P. Wohl, J. A. Waicukauski, G. A. Maston, and J. E. Colburn, "XLBIST: X-Tolerant Logic BIST," in Proc. IEEE International Test Conference, Phoenix, AZ, USA, 2018, pp. 1-9.
[26] M. U. Maaz, A. Sprenger, and S. Hellebrand, "A Hybrid Space Compactor for Adaptive X-Handling," in Proc. IEEE International Test Conference, Washington, DC, USA, 2019, pp. 1-8.
[27] G. Mrugalski, J. Rajski, J. Tyszer, and B. Włodarczak, "DIST: Deterministic In-System Test with X-masking," in Proc. IEEE International Test Conference, Anaheim, CA, USA, 2022, pp. 20-27.
[28] P. Wohl, J. Waicukauski, A. Bhat, K. S. V. K, and R. Karmakar, "Selective Multiple Capture Test (SMART) XLBIST," in Proc. IEEE International Test Conference India (ITC India), 2022, pp. 1-6.
[29] G. Mrugalski, J. Rajski, J. Tyszer, and B. Włodarczak, "X-Masking for Deterministic In-System Tests," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 42, no. 11, pp. 4260-4269, Nov. 2023.
[30] A. Leininger, M. Fischer, M. Braun, M. Richter, and M. Goessel, "Using timing flexibility of automatic test equipment to complement X-tolerant test compression techniques," in Proc. IEEE International Test Conference, Santa Clara, CA, USA, 2007, pp. 1-9.
[31] P. Wohl, J. A. Waicukauski, and S. Ramnath, "Fully X-tolerant combinational scan compression," in Proc. IEEE International Test Conference, Santa Clara, CA, USA, 2007, pp. 1-10.
[32] O. Sinanoglu and S. Almukhaizim, "X-Align: Improving the Scan Cell Observability of Response Compactors," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no. 10, pp. 1392-1404, Oct. 2009.
[33] L. T. Wang et al., "VirtualScan: a new compressed scan technology for test cost reduction," in Proc. International Conference on Test, Charlotte, NC, USA, 2004, pp. 916-925.
[34] C. W. Chen, Y. C. Kong, and K. J. Lee, "Test Compression with Single-Input Data Spreader and Multiple Test Sessions," in IEEE 26th Asian Test Symposium (ATS), Taipei, Taiwan, 2017, pp. 28-33.
[35] J. Z. Chen and K. J. Lee, "Test Stimulus Compression Based on Broadcast Scan With One Single Input," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 36, no. 1, pp. 184-197, Jan. 2017.
[36] TestMAX DFT, Synopsys DFT synthesis solution, https://www.synopsys.com/implementation-and-signoff/test-automation/testmax-dft.html, 2023
[37] IWLS2005 Benchmarks, https://iwls.org/iwls2005/benchmarks.html, June, 2005
[38] TestMAX ATPG, Synopsys pattern generation solution, https://www.synopsys.com/implementation-and-signoff/test-automation/testmax-atpg.html, 2023
[39] Design Compiler, Synopsys RTL synthesis solution, https://www.synopsys.com/content/dam/synopsys/implementation&signoff/datasheets/dc-ultra-ds.pdf, 2018
[40] Tessent TestKompress , Siemens Tessent test solution, https://eda.sw.siemens.com/en-US/ic/tessent/test/testkompress/, 2019
校內:2030-07-02公開