簡易檢索 / 詳目顯示

研究生: 施子琅
Shih, Tzu-Lang
論文名稱: 低溫微波退火技術對於矽鍺、鍺和砷化銦鎵半導體材料載子活化之影響
Effect of Low Temperature of Microwave Annealing on Dopants Activation for SiGe, Ge, and InGaAs Semiconductors
指導教授: 李文熙
Lee, Wen-Hsi
學位類別: 博士
Doctor
系所名稱: 電機資訊學院 - 電機工程學系
Department of Electrical Engineering
論文出版年: 2017
畢業學年度: 106
語文別: 英文
論文頁數: 151
中文關鍵詞: 離子佈植微波退火矽鍺三五族化合物半導體
外文關鍵詞: Ion implantation, Microwave Annealing, Silicon-Germanium, Germanium, Ⅲ-V compound semiconductors
相關次數: 點閱:79下載:11
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 隨著半導體元件尺寸日亦微縮,矽電晶體已達到其材料極限,現有的半導體製程技術或架構已陸續面臨許多瓶頸。傳統製程技術的改良抑或是新材料架構的研發便成為延續摩爾定律的要務。有鑒於此,本論文旨在研究三種有潛力新型互補式電晶體之通道材料:矽鍺、鍺和三五族化合物半導體,並以低能量低劑量離子佈植摻雜後,利用新型加熱退火方式:微波退火系統對於新通道材料之修復及活化研究。微波退火因加熱的方式有別於傳統熱退火,將有機會達到較低的熱預算與較佳的熱均勻性處理。
    第一部分,我們使用微波退火與傳統熱快速退火分別對於硼離子佈植於矽鍺材料進行熱處理並比較其電性表現差異。其結果顯示,使用一階段微波退火能量 1800瓦,能使硼離子摻雜鍺比例為30%之矽鍺材料得到最低之片電阻值 170 ohm/sq.,並擁有較佳磊晶薄膜品質、最小佈植缺陷厚度 16奈米及最佳之殘留應力指數1.48%。另外,為了在不發生應力鬆弛情況下有效地提升摻雜硼離子之活化濃度,我們嘗試使用第二階段微波退火,其能量為600瓦持續時間100秒,經量測,其片電阻值再次降至134.61 ohm/sq.,摻雜載子移動率為302.7 cm2/Vs及殘留應力指數仍維持1.47% 左右水準。比較其傳統熱快速退火,顯示低熱預算微波退火方式有助於矽鍺新型材料之載子活化和應力之維持。
    在本論文第二部分,根據本實驗室對於過往於材料矽熱處理活化研究及結合第一部分矽鍺經驗,新通道材料鍺於N型摻雜載子具有快速擴散及低固態溶解率之挑戰,故亦以低熱預算有潛力之微波退火技術進行熱處理,並與傳統熱快速退火比較。實驗結果顯示,第一階段微波退火能量1200瓦持續時間75秒,能夠使離子佈植磷離子之非晶態鍺完成固態磊晶再成長,隨後進行第二階段微波退火能量900瓦持續時間300秒,能夠有效活化摻雜磷離子,並降低其片電阻值至78 ohm/sq.,載子移動率1298 cm2/Vs和載子濃度高於1020 cm-3之水準,並從二次離子質譜儀量測顯示,經由兩階段微波退火後,摻雜載子亦無明顯發生擴散現象,由此再次證明,低熱預算微波退火技術有助於新型材料鍺之載子活化和抑制擴散之能力。
    第三部分,為了克服N型鍺材料費米能級釘扎效應,我們結合介電層插入製程和微波退火技術達到其改善特性目的。微波退火因加熱的方式有別於傳統熱退火,將有機會對於介電層薄膜品質達到較低的熱預算與較佳的熱均勻性處理。結果顯示,三氧化二鋁及二氧化鈦厚度分別在2奈米及6奈米能得到最低蕭特基位障及接觸電阻。進而發現二氧化鈦降低蕭特基位障及接觸電阻能力優於三氧化二鋁,由於二氧化鈦與鍺有較低的導帶差,因而在降低蕭特基位障之外還能達到較小的穿隧阻抗,以達到較大的導通電流。另外,在使用微波退火 1200瓦的過程中量測到的試片溫度也約略為450度,但是此微波退火的試片得到了較低的缺陷密度、高的電流密度以及低的接觸電阻率。這些結果顯示微波退火避免了傳統熱退火可能帶來的負面影響。
    文末我們探討以不同摻雜溫度矽離子於三五族化合物半導體材料,利用微波退火技術與傳統快速退火分別進行熱處理,並觀察對於其載子活化之情形。由穿透式電子顯微鏡圖片得知,高溫離子佈植能有效降低材料表面缺陷密度。另外,拉曼光譜顯示,亦發現微波退火能量1500瓦持續時間100秒,能夠完整修復表面缺陷及完成固態磊晶再成長,此結果與穿透式電子顯微鏡分析一致。並於二次離子質譜圖亦證明微波退火有良好抑制擴散能力。最後,光激發螢光光譜分析顯示,相較於傳統快速退火,最佳活化摻雜矽離子條件為離子佈植溫度於150度微波退火能量1800瓦且持續時間100秒,證明其具有較優異之鍵結能力。
    本論文驗證新型微波退火技術應用於新型通道材料熱處理是可行的,並證明微波退火比傳統快速退火具有優勢,能夠以較低熱預算達到固態磊晶再成長及活化之效果,亦不會因能量提高而易發生載子擴散現象。這些結果皆可作為微波退火應用至先進半導體製程的展示和參考。

    When the feature size of semiconductors devices is scaling down toward 7 nm and beyond, current manufacturing techniques are facing a variety of challenges due to higher processing requirement. Improvement on current techniques or development of novel technology thus becomes important to keep the Moore’s law alive. In this thesis, we study the three kinds of novel potential materials―silicon-germanium (SiGe), germanium (Ge) and the Ⅲ-V compound semiconductors which are ion implanted with low energy and low dose. In order to achieve lower sheet resistance, higher carrier concentration and higher residual strain, the new annealing technology ─microwave annealing (MWA) is employed in this thesis.
    In the first part of this study, MWA over a wide range of power was performed on boron-implanted into Si0.7Ge0.3 samples. The sample was annealed at 1800 W microwave at the first step, which has the lowest sheet resistance of 170 ohm/sq., better quality of epitaxial layer, the minimum end-of-range defect thickness of 16 nm and the better residual stress index of 1.48%. Furthermore, at the second annealing step, contrary to the usual process of thermal annealing with higher temperature, a lower-power microwave process was used to maintain the stress while increasing the activation level. Sheet resistance of 134.61 ohm/sq., carrier mobility of 302.7 cm2/Vs and residual stress index of 1.47% are obtained with the second step MWA at 600 W for 100 seconds.
    The second part, in our previous study, as we obtained good results using MWA as a post-implantation annealing process in Si and SiGe materials, the effect of MWA on the high-mobility Ge semiconductor material is of great interest. However, the Ge n-channel implementation has been challenging due to source-drain (S/D) doping and contact problems. In the first annealing step, a high-power microwave at 1200 W for 75 seconds was used to achieve solid phase epitaxial regrowth (SPER) and to enhance microwave absorption. Next, the second annealing step of MWA at 900 W for 300 seconds could make the phosphorous dopants activate effectively. For two-step MWA annealed sample, which has the lowest sheet resistance of 78 ohm/sq., higher carrier mobility of 1298 cm2/Vs and the carrier concentrations up to 1020 cm-3 and without dopants diffusion in the meantime.
    In the third part, Fermi-level pinning effect are realized by integrating dielectric layer insertion process and MWA. Results show that the thickness of 2-nm Al2O3 and 6-nm TiO2 can achieve the lowest Schottky barrier height and the lowest specific contact resistance. It is also found that TiO2 has the better ability to reduce the Schottky barrier height and the specific contact resistance than Al2O3, since TiO2 and Ge has lower conduction band offset, which reduces the Schottky barrier in addition to a smaller tunneling resistance can be achieved. Samples with rapid thermal annealing (RTA) at 450°C are also fabricated for comparison at the same wafer temperature measured during MWA at 1200 W. For microwave annealed samples, key parameters such as density of interface states (Dit), current density and specific contact resistance were all improved with increasing MWA power.
    In the final part of this thesis, Si-implanted with various temperature into III-V compound semiconductors at different MWA powers are investigated. Low defect density of surface is observed in the TEM image, and the Raman and SIMS results show that SPER can achieve, without dopants diffusion, with MWA at 1500 W for 100 seconds. Furthermore, photoluminescence (PL) spectroscopy analysis, these results reveal that MWA at 2100 W for 100 seconds of Si-implanted at 150℃ has the best condition in dopants activation and well bonding.
    This thesis have demonstrated that the novel MWA technology applying to researching new high-mobility CMOS channel materials in electrical activation is useful. The low-thermal budget MWA could achieve SPER and dopant activation without diffusion. In short, the benefit of the potential MWA is powerful than traditional RTA, and the results pave ways for the techniques to be adopted in the advanced semiconductor processing.

    摘要 I Abstract III 誌謝 VI Content VII List of Figures X List of Tables XV Chapter 1 Introduction 1 1-1 Background 1 1-1-1 Scaling of Si-based CMOS and beyond Si 1 1-1-2 High-mobility CMOS channel materials 5 1-2 Literature review and critical issues for current manufacturing technologies 8 1-2-1 Dopants of boron in Silicon with microwave annealing and activation of strain-relaxed Si1-xGex 8 1-2-2 Challenges in N-type Ge and III-V materials processing 14 1-2-3 Degradation of electrical performance by thermal processes 26 1-3 Motivation 30 1-4 Organization of the thesis 31 Chapter 2 Characterization Methodology and Instrumental Setup 33 2-1 The ion implantation technique 33 2-2 The microwave annealing technique 37 2-3 Theory of diffusion-controlled defect, re-crystallization, and activation 43 2-4 Theory of Fermi Level Pinning (FLP) and specific contact resistance 48 2-5 Principles of MOSFETs [83] 51 Chapter 3 Strong Stress Conservation with High Dopant Activation of Boron in Si0.7Ge0.3 Using Two-step Microwave Annealing 56 3-1 Introduction 57 3-2 Experimental details 58 3-2-1 Process flow of the experiment 58 3-2-2 Characterization techniques 59 3-3 Results and discussion 60 3-4 Summary 65 Chapter 4 High Dopant Activation of Phosphorus in Ge Crystal with High-temperature Implantation and Two-step Microwave Annealing 67 4-1 Introduction 68 4-2 Experimental details 69 4-2-1 Calibration of annealing temperature between RTA and MWA 69 4-2-2 Process flow of the experiment 69 4-3 Results and discussion 73 4-3-1 Calibration of annealing temperature between RTA and MWA 73 4-3-2 One–step annealing 79 4-3-3 Two–step microwave annealing 85 4-3-4 Multi–step microwave annealing 90 4-3-5 Fabrication of n-MOS device and annealing conditions 92 4-4 Summary 94 Chapter 5 Studies of Significant Shift in Schottky Barrier Height at Metal/n-type Germanium with Inserting Dielectric Film Using 1200 W Microwave Annealing 96 5-1 Introduction 97 5-2 Experimental details 98 5-2-1 Fabrication of MIS structure and annealing conditions 98 5-2-2 Characterization techniques 100 5-3 Results and discussion 101 5-3-1 Examination of Al2O3 & TiO2 inserting layer using XPS 101 5-3-2 J-V characteristic of Al2O3 & TiO2 inserting layer after MWA 1200 W 109 5-3-3 Temperature-dependent J-V of Al2O3 & TiO2 inserting layer after MWA 1200W 110 5-3-4 Contact resistance measurement of Al2O3 & TiO2 inserting layer after MWA 1200W 112 5-3-5 Effects of the different annealing methods for the 2-nm Al2O3 & 6-nm TiO2 inserting layers 115 5-4 Summary 117 Chapter 6 Studies on Activation of High-mobility III-V Group Semiconductor Materials Using Microwave Annealing 118 6-1 Introduction 119 6-2 Experimental details 120 6-2-1 Process flow of the experiment 120 6-2-3 Characterization techniques 121 6-3 Results and discussion 121 6-3-1 Effect of ion implantation temperature 121 6-3-2 Micrograph analysis after thermal annealing 122 6-3-3 Optical spectral analysis 124 6-3-4 SIMS analysis 132 6-4 Summary 134 Chapter 7 Conclusion and Recommendation 136 Reference 139

    [1] G. E. Moore, “Cramming more components onto integrated circuits”, Electronics, vol. 38, no. 8, pp. 114-117, April, 1965.
    [2] G. E. Moore, “Progress in Digital Integrated Electronics”, in IEEE International Electron Devices Meeting, pp. 11-13, 1975.
    [3] D. R. H, G. F. H, Y. U. H. N, R. V. Leo, B. E, and L. A. R, "Design of ion-implanted MOSFET's with very small physical dimensions," IEEE Solid-State Circuits Society Newsletter, vol. 12, pp. 38-50, 2007.
    [4] T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, et al., "A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors" in IEDM '03 Technical Digest. IEEE International, pp. 11.6.1-11.6.3, 2003.
    [5] C.-H. Jan, P. Bai, J. Choi, G. Curello, S. Jacobs, J. Jeong, et al., "A 65nm ultra low power logic platform technology using uni-axial strained silicon transistors" in IEEE InternationalElectron Devices Meeting, 2005.
    [6] C.-H. Jan, P. Bai, S. Biswas, M. Buehler, Z.-P. Chen, G. Curello, et al., "A 45nm low power system-on-chip technology with dual gate (logic and I/O) high-k/metal gate strained silicon transistors" in IEEE International Electron Devices Meeting, pp. 1-4, 2008.
    [7] P. Packan, S. Akbar, M. Armstrong, D. Bergstrom, M. Brazier, H. Deshpande, et al., "High Performance 32nm Logic Technology Featuring 2 nd Generation High-k+ Metal Gate Transistors" in IEEE International Electron Devices Meeting (IEDM), pp. 1-4, 2009.
    [8] C. Auth, C. Allen, A. Blattner, D. Bergstrom, M. Brazier, M. Bost, et al., "A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors," in VLSI Technology (VLSIT), pp. 131-132, 2012.
    [9] S. Natarajan, M. Agostinelli, S. Akbar, M. Bost, A. Bowonder, V. Chikarmane, et al., "A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 um2 SRAM cell size" in IEEE International Electron Devices Meeting, pp. 3.7.1-3.7.3, 2014.
    [10] S. Oktyabrsky, P.D. Ye, ed. Fundamentals of III-V Semiconductor MOSFETs. ALD High-k/III-V Metal-Oxide-Semiconductor Devices and Correlated Empirical Model, ed. P.D. Ye., Springer, 2010.
    [11] International Technology Roadmap for Semiconductors, Vers. 2.0, 2015.
    [12] S. Takagi, T. Tezuka, T. Irisawa, S. Nakaharai, T. Numata, K. Usuda, N. Sugiyama, M. Shichijo, R. Nakane, S. Sugahara, Solid-State Electronics, vol. 51, p. 526, 2007.
    [13] A. Delabie, D.P. Brunco, T. Conard, P. Favia, H. Bender, A. Franquet, S. Sioncke, W. Vandervorst, S.V. Elshocht, M. Heyns, M. Meuris, E. Kim, P.C. McLntyre, K.C. Saraswat, J.M. LeBeau, J. Cagnon, S. Stemmer, W. Tsai, Journal of The Electrochemical Society, vol. 155, p. H937, 2008.
    [14] Gomez, L., P. Hashemi, and J.L. Hoyt, "Enhanced hole transport in short-channel strained-SiGe p-MOSFETs," IEEE Transactions on Electron Devices, vol. 56, no. 11, pp. 2644-2651, 2009.
    [15] K. L. Wang, S. G. Thomas, and M. O. Tanner, "SiGe band engineering for
    MOS, CMOS and quantum effect devices," J. Mater. Sci: Mater. Electron, vol. 6, no. 5, pp 311–324, October, 1995.
    [16] Thompson, S., et al. "A 90 nm logic technology featuring 50 nm strained silicon
    channel transistors, 7 layers of Cu interconnects, low k ILD, and 1/spl mu/m/sup
    2/SRAM cell," in IEEE Electron Devices Meeting (IEDM'02),2012.
    [17] Uchida, M., Y. Kamakura, and K. Taniguchi. "Performance enhancement of pMOSFETs depending on strain, channel direction, and material," in Simulation of Semiconductor Processes and Devices, International Conference on. 2005.
    [18] Nayfeh, H.M., et al., "Hole transport in nanoscale p-type MOSFET SOI devices with high strain," in Proc. Device Res. Conf., 2007.
    [19] Khakifirooz, A. and D.A. Antoniadis, "Transistor performance scaling: The role of virtual source velocity and its mobility dependence," in Electron Devices Meeting, 2006.
    [20] Antoniadis, D.A. and A. Khakifirooz, "MOSFET performance scaling: Limitations and future options," in Electron Devices Meeting, 2008. IEDM 2008. IEEE International, 2008.
    [21] Krishnamohan, T., et al. "Low defect ultra-thin fully strained-Ge MOSFET on relaxed Si with high mobility and low band-to-band-tunneling (BTBT)," in VLSI Technology, 2005. Digest of Technical Papers. 2005 Symposium on, 2005.
    [22] Lee, M.L., et al., "Strained Si, SiGe, and Ge channels for high-mobility metal-oxide-semiconductor field-effect transistors," Journal of Applied Physics, 97(1), p. 1, 2005.
    [23] Nicholas, G., et al., "High-performance deep submicron Ge pMOSFETs with halo implants," IEEE Transactions on Electron Devices, 54(9), pp. 2503-2511, 2007.
    [24] Weber, O., et al. "Strained Si and Ge MOSFETs with high-k/metal gate stack for high mobility dual channel CMOS," in Electron Devices Meeting, 2005. IEDM Technical Digest. IEEE International, 2005.
    [25] Yamamoto, T., et al. "High performance 60 nm gate length germanium p-MOSFETs with Ni germanide metal source/drain," in Electron Devices Meeting, 2007. IEDM 2007. IEEE International, 2007.
    [26] Bedell, S.W., et al., "Mobility scaling in short-channel length strained Ge-on-insulator P-MOSFET," IEEE Electron Device Letters, 29(7), pp. 811-813, 2008.
    [27] Ní Chléirigh, C., et al., "Super critical thickness SiGe-channel heterostructure p-type metal-oxide-semiconductor field-effect transistors using laser spike annealing," Journal of Applied Physics, 103(10), p. 104501, 2008.
    [28] Yeo, Y.-C., et al. "Enhanced performance in sub-100 nm CMOSFETs using strained epitaxial silicon-germanium," in Electron Devices Meeting, 2000. IEDM'00. Technical Digest. International, 2000.
    [29] Shi, Z., et al., "Hole mobility enhancement and Si cap optimization in nanoscale strained Si1− x Gex PMOSFETs," Solid-state electronics, 48(12), pp. 2299-2306, 2004.
    [30] Andrieu, F., et al. "Co-integrated dual strained channels on fully depleted sSDOI CMOSFETs with HfO/sub 2//TiN gate stack down to 15nm gate length," in SOI Conference, 2005. Proceedings. 2005 IEEE International, 2005.
    [31] A. I. Hochbaum and P. Yang, Chem. Rev. 110(1), p. 527, 2010.
    [32] Ethan Long, Alexander Azarov, Frode Kløw, Augustinas Galeckas, Andrej Yu Kuznetsov, and Spyridon Diplas, Appl. Phys. Lett. 111, 024308, 2012.
    [33] Bohr, M.T., et al., "The high-k solution," IEEE spectrum, 44(10), p. 29-35, 2007.
    [34] Chiu Soon Wong, X-RAY DIFFRACTION TECHNIQUES FOR FUTURE ADVANCED CMOS METROLOGY CHALLENGES, 2013.
    [35] T. Ming Han, W. Chi-Ting, and L. Wen-His, Japanese Journal of Applied Physics, 53, 041302, 2014.
    [36] Park, J., Y.-J. Huh, and H. Hwang, "Comparison of ultralow-energy ion implantation of boron and BF 2 for ultrashallow p+/n junction formation," Applied physics letters, 74(9), pp. 1248-1250, 1999.
    [37] Impellizzeri, G., et al., "Fluorine counter doping effect in B-doped Si," Applied Physics Letters, 91(13), p. 132101, 2007.
    [38] A. Chroneos, Defect Processes in Germanium, 2008.
    [39] M. S. Carroll and R. Koudelka, "Accurate modelling of average phosphorus diffusivities in germanium after long thermal anneals: evidence of implant damage enhanced diffusivities," Semicond. Sci. Technol., vol. 22, no. S164-S167, 2007.
    [40] C. Thomidis, M. Barozzi, M. Bersani, V. Ioannou-Sougleridis, N. Z. Vouroutzis, B. Colombeau and D. Skarlatos, "Strong Diffusion Suppression of Low Energy–Implanted Phosphorous in Germanium by N2 Co-Implantation," ECS Solid State Letters, pp. 4 (6) P47-P50, 2015.
    [41] D. Skarlatos, C. Tsamis, M. Perego and M. Fanciulli, "Oxidation-enhanced diffusion of boron in very low-energy N2 + -implanted silicon," Journal of Applied Physics, vol. 97, no. 113534, 2005.
    [42] D. Skarlatos, M. Bersani, M. Barozzi, D. Giubertoni, N. Z. Vouroutzis and V. Ioannou-Sougleridisd, "Nitrogen Implantation and Diffusion in Crystalline Germanium: Implantation Energy, Temperature and Ge Surface Protection Dependence," ECS Journal of Solid State Science and Technology, vol. 1, pp. 315-319, 2012.
    [43] K. S. Jones, A. G. Linda, C. Hatemb, S. Moffattc and M. C. Ridgwayd, "A Brief Review of Doping Issues in III-V Semiconductors," ECS Transactions, vol. 53, no. 3, pp. 97-105, 2013.
    [44] A. G. Lind, N. G. Rudawski, N. J. Vito, C. Hatem, M. C. Ridgway, R. Hengstebeck, B. R. Yates and K. S. Jones, "Maximizing electrical activation of ion-implanted Si in In0.53Ga0.47As," APPLIED PHYSICS LETTERS, vol. 103, no. 232102, 2013.
    [45] A. Alian, G. Brammertz, N. Waldron, C. Merckling, G. Hellings, H. C. Lin, W. E. Wang, M. Meuris, E. Simoen, K. D. Meyer and M. Heyns, "Silicon and selenium implantation and activation in In0.53Ga0.47As under low thermal budget conditions," Microelectronic Engineering, vol. 88, pp. 155-158, 2011.
    [46] Chui, C.O., et al., "Activation and diffusion studies of ion-implanted p and n dopants in germanium," Applied Physics Letters, 83(16), pp. 3275-3277, 2003.
    [47] Satta, A., et al., "Diffusion, activation, and recrystallization of boron implanted in preamorphized and crystalline germanium," Applied Physics Letters, 87(17), p. 172109, 2005.
    [48] Dimoulas, A., et al., "Fermi-level pinning and charge neutrality level in germanium," Applied Physics Letters, 89(25), p. 252110, 2006.
    [49] Nishimura, T., K. Kita, and A. Toriumi, "Evidence for strong Fermi-level pinning due to metal-induced gap states at metal/germanium interface," Applied Physics Letters, 91(12), p. 123, 2007.
    [50] Brunco, D., et al., "Germanium MOSFET devices: Advances in materials understanding, process development, and electrical performance," Journal of The Electrochemical Society, 155(7), pp. H552-H561, 2008.
    [51] Brotzmann, S. and H. Bracht, "Intrinsic and extrinsic diffusion of phosphorus, arsenic, and antimony in germanium," Journal of Applied Physics, 103(3), p. 033508, 2008.
    [52] S. Takagi M. Takenaka "High mobility CMOS technologies using III-V/Ge channels on Si platform" in IEEE Proc. Ultimate Integration on Silicon (ULIS) pp. 1-4, 2012.
    [53] H. Kai, M. Xueli, Y. Hong, and W. Wenwu, "Modulation of the effective work function of a TiN metal gate for NMOS requisition with Al incorporation," Journal of Semiconductors, vol. 34, p. 076003, 2013.
    [54] J. Takano, K. Makihara, and T. Ohmi, "Chemical oxide passivation for very thin oxide formation," in MRS Proceedings, p. 381, 1993.
    [55] K. Onishi, C. S. Kang, R. Choi, H.-J. Cho, S. Gopalan, R. E. Nieh, et al., "Improvement of surface carrier mobility of HfO2 MOSFETs by high-temperature forming gas annealing," IEEE Transactions on Electron Devices ,vol. 50, pp. 384-390, 2003.
    [56] R. E. Nieh, C. S. Kang, H.-J. Cho, K. Onishi, R. Choi, S. Krishnan, et al., "Electrical characterization and material evaluation of zirconium oxynitride gate dielectric in TaN-gated NMOSFETs with high-temperature forming gas annealing," IEEE Transactions on Electron Devices, vol. 50, pp. 333-340, 2003.
    [57] S. Zafar, A. Callegari, E. Gusev, and M. V. Fischetti, "Charge trapping in high k gate dielectric stacks," in Electron Devices Meeting, 2002. IEDM'02. International, pp. 517-520, 2002.
    [58] R. G. Elliman, J. S.Williams, S. T. Johnson and E. Nygren, Mat. Res. Soc. Proc. 74, 471, 1987.
    [59] E. Thostenson and T.-W. Chou, "Microwave processing: fundamentals and applications," Composites Part A: Applied Science and Manufacturing, vol. 30, pp. 1055-1071, 1999.
    [60] A. Metaxas, Foundations of electroheat: a unified approach: John Wiley & Sons Inc, 1996.
    [61] D. Stuerga, "Microwave-material interactions and dielectric properties, key ingredients for mastery of chemical microwave processes," Microwaves in Organic Synthesis (Loupy A, ed). 2nd ed. Weinheim, Germany: Wiley-VCH Verlag Gmbh & Co. KgaA, pp. 1-61, 2006.
    [62] H. Fröhlich, "Theory of dielectrics," 1949.
    [63] G. G. Raju, Dielectrics in electric fields vol. 19: CRC press, 2003.
    [64] P. Lidström, J. Tierney, B. Wathey, and J. Westman, "Microwave assisted organic synthesis—a review," Tetrahedron, vol. 57, pp. 9225-9283, 2001.
    [65] I. Bilecka and M. Niederberger, "Microwave chemistry for inorganic nanomaterials synthesis," Nanoscale, vol. 2, pp. 1358-1374, 2010.
    [66] G. Dearnaley, J. H. Freeman, R. S. Nelson and J. Stephen, Ion Implantation, 1973.
    [67] L. Csepregi and J. W. Mayer. Phys. Letts., 54A (2), 157, 1975.
    [68] J. M. Poate and J.S. Williams, Ion Implantation and Beam Processing (Academic Press, New York 1984), p. 27, 1984.
    [69] J. Narayan and O. W. Holland, Phys. Stat. Sol., 78, 225, 1982.
    [70] J. Narayan, O.W. Holland and B. R. Appleton, J. Vac. Sci. Technol. B1(4), 871, 1993.
    [71] P.J. Timans, R.A. McMahon and H. Ahmed, Mat. Res. Soc. Proc., 45, 337, 1985.
    [72] P. J. Timans, R.A. McMahon and H. Ahmed, Mat. Res. Soc. Proc. 52, 123, 1986.
    [73] R. G. Elliman, J. S.Williams, S. T. Johnson and E. Nygren, Mat. Res. Soc. Proc. 74, 471, 1987.
    [74] R. G. Elliman, J. S.Williams, W. L. Brown, A. Leiberich, D. M. Mayer and R. V. Knoel, Nucl. Instr. Meth. Phys. Res. B. B19/20, 435, 1987.
    [75] L. Olson, J. A. Roth, L.D. Hess and J. Narayan, Mat. Res. Soc. Proc. 23, 375, 1984.
    [76] G.L. Olson, Mat. Res. Soc. Proc., 35, 25, 1985.
    [77] G.L. Olson, J. A. Roth, Y. Rytz-Froidevaux and J. Narayan, Mat. Res. Soc. Proc., 35, 211, 1985.
    [78] L. Csepregi and J. W. Mayer, Phys. Letts., 54A (2), 157, 1975.
    [79] [46] L. Csepregi, J. W. Mayer and T.W. Sigmon, Appl. Phys. Lett., 29(2), 92, 1976.
    [80] M. Servidori, Z. Sourek, and S. Solmi, J. Appl. Phys. 62, 1723, 1987.
    [81] H. K. Henisch, "Semiconductor Contacts – An Approach to Ideas and Models," p.31, New York : Oxford University Press, 1984.
    [82] J Robertson, L Lin, “Fermi Level pinning in Si, Ge and GaAs systems – MIGS or defects?” in IEDM Tech. Dig., pp. 119-122, 2009.
    [83] S. M. Sze and K. K. Ng, Physics of semiconductor devices: John wiley & sons, 2006.
    [84] Vogler, D., The Roadmap to 5nm: Convergence of Many Solutions Needed. SEMICON West, 2015.
    [85] P. Rauter, G. Mussler, D. Grützmacher, and T. Fromherz, Applied Physics Letter 98, 211106, 2011.
    [86] R. A. Minamisawa, D. Buca, B. Holländer, J. M. Hartmann, K. K. Bourdelle, and S. Mantl, Journal of Electrochemical Society ,159(1), p. H44-H51, 2011.
    [87] G. V. Luong, S. Wirths, S. Stefanov, B. Holländer, J. Schubert, J. C. Conde, T. Stoica, U. Breuer, S. Chiussi, M. Goryll, D. Buca, and S. Mantl, Journal of Applied Physics ,113, 204902, 2013.
    [88] B. Holländer, D. Buca, M. Mörschbächer, St. Lenk, S. Mantl, H.-J. Herzog, Th. Hackbarth, R. Loo, M. Caymax, and P. F. P. Fichtner, Journal of Applied Physics , 96, 1745, 2004.
    [89] D. Buca, S. Winnerl, S. Lenk, Ch. Buchal, and D.-X. Xu, Applied Physics Letter , 80, 4172, 2002.
    [90] D. Buca, R. A. Minamisawa, H. Trinkaus, B. Holländer, S. Mantl, R. Loo, and M. Caymax, Journal of Applied Physics , 105, 114905, 2009.
    [91] F.-J. Hou, P.-J. Sung, F.-K. Hsueh, C.-T. Wu, Y.-J. Lee, M.-N. Chang, Y. Li, and T.-H. Hou, IEEE Transactions on Electron Devices , 63, 1808, 2016.
    [92] C.-C. Hsu, Y.-H. Tsai, C.-W. Chen, J.-H. Li, Y.-H. Lin, Y.-J. Lee, G.-L. Luo, and C.-H. Chien, IEEE Electron Device Letters, 37, 8, 2016.
    [93] T. Ming Han, W. Chi-Ting, and L. Wen-His, Japanese Journal of Applied Physics, 53, 041302, 2014.
    [94] Y.-J. Lee, B.-A. Tsai, C.-H. Lai, Z.-Y. Chen, F.-K. Hsueh, P.-J. Sung, M. I. Current, and C.-W. Luo, IEEE Electron Device Letters , 34, 1286, 2013.
    [95] Y.-L. Lu, F.-K. Hsueh, K.-C. Huang, T.-Y. Cheng, J. M. Kowalski, J. E. Kowalski, Y.-J. Lee, T.-S. Chao, and C.-Y. Wu, IEEE Electron Device Letters , 31, 437, 2010.
    [96] T.-L. Shih, Y.-H. Su, and W.-H. Lee, Applied Physics Letter , 109, 122103, 2016.
    [97] T.-L. Shih, Y.-H. Su, T.-C. Kuo, W.-H. Lee, and M.-I. Current, Applied Physics Letter , 111, 012101, 2017.
    [98] T. Yamaguchi, Y. Kawasaki, T. Yamashita, Y. Yamamoto, Y. Goto, J.Tsuchimoto, S. Kudo, K. Maekawa, M. Fujisawa, and K. Asai, IEDM Tech. Dig.,p. 576 , 2010.
    [99] J. M. Kowalski, J. E. Kowalski, and B. Lojek, Proc. 15th IEEE Int. Conf.Advanced Thermal Processing of Semiconductors (RTP), p. 51, 2007.
    [100] C. Hu, P. Xu, C. Fu, Z. Zhu, X. Gao, A. Jamshidi, M. Noroozi, H.Radamson, D. Wu and S.-L. Zhang, Applied Physics Letter , 101, 092101
    [101] T. L. Alford, D. C. Thompson, J. W. Mayer, and N. D. Theodore, Journal of Applied Physics , 106, 114902, 2009.
    [102] Y.-J. Lee, S.-S. Chuang, F.-K. Hsueh, H.-M. Lin, S.-C. Wu, C.-Y. Wu, and T.-Y. Tseng, 32(2): p. 194-196, 2011.
    [103] N. Ioannou, D. Skarlatos, C. Tsamis, C. A. Krontiras, S. N. Georga, A. Christofi, and D. S. McPhail, Applied Physics Letters, 93, 101910, 2008.
    [104] S. Winnerl, D. Buca, S. Lenk, Ch. Buchal, S. Mantl, and D.-X. Xu, Microelectronic Engineering, 64(1): p. 205-209, 2002.
    [105] K. Eriguchi and K. Ono, "Impacts of plasma process-induced damage on MOSFET parameter variability and reliability," Microelectronics Reliability, vol. 55, pp. 1464-1470, 2015.
    [106] E. Koji and O. Kouichi, "Quantitative and comparative characterizations of plasma process-induced damage in advanced metal-oxide-semiconductor devices," Journal of Physics D: Applied Physics, vol. 41, p. 024002, 2008.
    [107] A. Martin, "Review on the reliability characterization of plasma-induced damage," Journal of Vacuum Science & Technology B, vol. 27, pp. 426-434, 2009.
    [108] Y. Norikuni, O. Masaharu, M. Osamu, and Y. Shizuka, "Surface Damage on Si Substrates Caused by Reactive Sputter Etching," Japanese Journal of Applied Physics, vol. 20, p. 893, 1981.
    [109] G. S. Oehrlein, "Dry etching damage of silicon: A review," Materials Science and Engineering: B, vol. 4, pp. 441-450, 1989.
    [110] O. O. Awadelkarim, S. J. Fonash, P. I. Mikulan, and Y. D. Chan, "Plasma‐charging damage to gate SiO2 and SiO2/Si interfaces in submicron n‐channel transistors: Latent defects and passivation/depassivation of defects by hydrogen," Journal of Applied Physics, vol. 79, pp. 517-525, 1996.
    [111] C. T. Gabriel and J. P. McVittie, "Effect of plasma overetch of polysilicon on gate oxide damage," Journal of Vacuum Science & Technology A, vol. 13, pp. 900-904, 1995.
    [112] T. S. Jang, M. H. Ha, K. D. Yoo, and B. K. Kang, "Plasma process induced damages on n-MOSFET with plasma oxidized and nitrided gate dielectrics," Microelectronic Engineering, vol. 75, pp. 443-452, 2004.
    [113] M. Kim, J. Lee, D. Kim, and G. Min, "Novel degradation model of MOSFET thin gate oxide induced by VUV photons during high density plasma oxide deposition," Surface and Coatings Technology, vol. 228, Supplement 1, pp. S511-S515, 2013.
    [114] T. Pei-Jer, C. Yi-Yuan, and C.-L. Kuei-Shu, "Plasma charging damage on MOS devices with gate insulator of high-dielectric constant material," IEEE Electron Device Letters, vol. 22, pp. 527-529, 2001.
    [115] W.-T. Weng, Y.-J. Lee, H.-C. Lin, and T.-Y. Huang, "A comparison of plasma-induced damage on the reliability between high-k/metal-gate and SiO2/poly-gate complementary metal oxide semiconductor technology," Solid-State Electronics, vol. 54, pp. 368-377, 2010.
    [116] M. Kyung Seok, K. Chang Yong, Y. Ook Sang, P. Byoung Jae, K. Sung Woo, C. D. Young, et al., "Plasma induced damage of aggressively scaled gate dielectric (EOT«1.0nm) in metal gate/high-k dielectric CMOSFETs," in 2008 IEEE International Reliability Physics Symposium, pp. 723-724, 2008.
    [117] C. Shang-Jr, C. Steve Shao-Shiun, and L. Horng-Chih, "Charge Pumping Profiling Technique for the Evaluation of Plasma-Charging-Enhanced Hot-Carrier Effect in Short-N-Channel Metal-Oxide-Semiconductor Field-Effect Transistors," Japanese Journal of Applied Physics, vol. 41, p. 4493, 2002.
    [118] A. Le Gouil, O. Joubert, G. Cunge, T. Chevolleau, L. Vallier, B. Chenevier, et al., "Poly-Si∕TiN∕HfO2 gate stack etching in high-density plasmas," Journal of Vacuum Science & Technology B, vol. 25, pp. 767-778, 2007.
    [119] V. N. Bliznetsov, L. K. Bera, H. Y. Soo, N. Balasubramanian, R. Kumar, G. Q. Lo, et al., "Plasma Etching for Sub-20-nm TaN Metal Gates on High-k Dielectrics," IEEE Transactions on Semiconductor Manufacturing, vol. 20, pp. 143-149, 2007.
    [120] M. M. Hussain, S. C. Song, J. Barnett, C. Y. Kang, G. Gebara, B. Sassman, et al., "Plasma-Induced Damage in High-k/Metal Gate Stack Dry Etch," IEEE Electron Device Letters, vol. 27, pp. 972-974, 2006.
    [121] E. H. Nicollian and A. Goetzberger, "The Si-SiO2 Interface — Electrical Properties as Determined by the Metal-Insulator-Silicon Conductance Technique," Bell System Technical Journal, vol. 46, pp. 1055-1133, 1967.
    [122] S.-L. Cheng, G. Shambat, J. Lu, H.-Y. Yu, K. Saraswat, T. I. Kamins,J. Vuckovic, and Y. Nishi, Appl. Phys. Lett., 98, 211101, 2011.
    [123] G. Shambat, S.-L. Cheng, J. Lu, Y. Nishi, and J. Vuckovic, Appl. Phys.Lett., 97, 241102, 2011.
    [124] D. Kuzum, T. Krishnamohan, A. Nainani, Y. Sun, P. A. Pianetta, H. S.-P.Wong, and K. C. Saraswat, Tech. Dig. - Int. Electron Devices Meet.,453, 2009.
    [125] C. O. Chui, K. Gopalakrishnan, P. B. Griffin, J. D. Plummer, and K. C.Saraswat, Appl. Phys. Lett., 83, 3275, 2003.
    [126] J. Kim, S. W. Bedell, and D. K. Sadana, Appl. Phys. Lett., 101, 112107, 2012.
    [127] J. Kim, S. W. Bedell, S. L. Maurer, R. Loesing, and D. K. Sadana, Electrochem.Solid-State Lett., 13, H12, 2010.
    [128] T. Yamaguchi, Y. Kawasaki, T. Yamashita, Y. Yamamoto, Y. Goto, J.Tsuchimoto, S. Kudo, K. Maekawa, M. Fujisawa, and K. Asai, IEDM Tech. Dig., p. 576, 2010.
    [129] J. M. Kowalski, J. E. Kowalski, and B. Lojek, Proc. 15th IEEE Int. Conf.Advanced Thermal Processing of Semiconductors (RTP), p. 51, 2007.
    [130] Vogler, D., The Roadmap to 5nm: Convergence of Many Solutions Needed. SEMICON West, 2015.
    [131] Y. Song, H. Zhou, and Q. Xu: "Source/drain technologies for the scaling of nanoscale CMOS device," Solid State Sciences, 13, p.294-p.305, 2011.
    [132] T. Skotnicki, James A. Hutchby, Tsu-Jae King, H.-S. Philip Wong and Frederic Boeuf, “The end of CMOS scaling: toward the introduction of new materials and structural changes to improve MOSFET performance,” IEEE Circuits and Devices Magazine, 21, p.16-p.26, 2005.
    [133] A. Ritenour, S. Yu, M. L. Lee, N. Lu, W. Bai, A. Pitera, E. A. Fitzgerald, D. L. Kwong, and D. A. Antoniadis, “Epitaxial Strained Germanium p-MOSFETs with HfO2 Gate Dielectric and TaN Gate Electrode,” Technical Digest - International Electron Devices Meeting, p.433-p.436, 2003.
    [134] D. Schroder, Semiconductor Material and Device Characterization, third edition, WILEY-INTERSCIENCE, 2006.
    [135] D. P. Brunco, B. De Jaeger, G. Eneman, J. Mitard, G. Hellings, “Ge MOSFET Devices: Advances in Materials Understanding, Process Development, and Electrical Performance” J. Electrochem. Soc., vol. 155, no. 7, pp. H552-H561, 2008.
    [136] Sergej Brotzmann and Hartmut Bracht, “Intrinsic and extrinsic diffusion of phosphorus, arsenic, and antimony in Ge”, J. Appl. Phys., vol. 103, no. 3, pp. 033508-1-033508-7, 2008.
    [137] J. Liu, X. Sun, D. Pan, X. Wang, L. C. Kimerling, T. L. Koch, and J. Michel, Opt. Exp., 15, 11272, 2007.
    [138] S.-L. Cheng, G. Shambat, J. Lu, H.-Y. Yu, K. Saraswat, T. I. Kamins,J. Vuckovic, and Y. Nishi, Appl. Phys. Lett., 98, 211101, 2011.
    [139] G. Shambat, S.-L. Cheng, J. Lu, Y. Nishi, and J. Vuckovic, Appl. Phys.Lett., 97, 241102, 2011.
    [140] D. Kuzum, T. Krishnamohan, A. Nainani, Y. Sun, P. A. Pianetta, H. S.-P.Wong, and K. C. Saraswat, Tech. Dig. - Int. Electron Devices Meet.,453, 2009.
    [141] C. O. Chui, K. Gopalakrishnan, P. B. Griffin, J. D. Plummer, and K. C. Saraswat, Appl. Phys. Lett., 83, 3275, 2003.
    [142] C. O. Chui, K. Gopalakrishnan, P. B. Griffin, J. D. Plummer, and K. C. Saraswat, “Activation and diffusion studies of ion-implanted p and n dopants in Ge,”Appl. Phys. Lett., vol. 83, no. 16, pp. 3275-3277, 2003.
    [143] A. Satta, E. Simoen, T. Clarysse, T. Janssens, A. Benedetti, B. De Jaeger, M. Meuris, and W. Vandervorst, “Diffusion, activation, and recrystallization of boron implanted in preamorphized and crystalline Ge,” Appl. Phys. Lett., vol. 87, pp. 172109-1-172109-3, 2005.
    [144] A. Dimoulas,P. Tsipas, and A. Sotiropoulos and E. K. Evangelou, “Fermi-level pinning and charge neutrality level in Ge,” Appl. Phys. Lett., vol. 89, no. 25, pp. 252110-1-252110-3, 2006.
    [145] Tomonori Nishimura, Koji Kita, and Akira Toriumi, “Evidence for strong Fermi-level pinning due to metal-induced gap states at metal/Ge interface,” Appl. Phys. Lett., vol. 91, no. 12, pp. 123123-1-123123-3, 2007.
    [146] Sundaresan, S.G., et al., "Comparison of solid-state microwave annealing with conventional furnace annealing of ion-implanted SiC," Journal of electronic materials, 36(4), pp. 324-331, 2007.
    [147] Lee, Y.-J. "Dopant activation by microwave anneal," in Junction Technology (IWJT), 2011.
    [148] Lee, Y.-J., et al., "Dopant activation in single-crystalline germanium by low-temperature microwave annealing," IEEE Electron Device Letters, 32(2), pp. 194-196, 2011.
    [149] A. Satta, E. Simoen, R. Duffy, T. Janssens, T. Clarysse, A. Benedetti, M. Meuris, and W. Vandervorst, Applied Physics Letters , 88, 162118, 2006.
    [150] T.-L. Shih, Y.-H. Su, and W.-H. Lee, Applied Physics Letter, 109, 122103, 2016.
    [151] Aditya Agarwal, H.-J.Gossmann, D. J. Eaglesham, L. Pelaz, D. C. Jacobson, T. E. Haynes and Yu. E. Erokhin, “Reduction of transient diffusion from 1–5 keV Si+ ion implantation due to surface annihilation of interstitials,” Appl. Phys. Lett. 71, 3141, 1997.
    [152] B. J. Pawlak, W. Vandervorst, A. J. Smith, N. E. B. Cowern, B. Colombeau and X. Pages, “Enhanced boron activation in silicon by high ramp-up rate solid phase epitaxial regrowth,” Appl. Phys. Lett., 86, 101913, 2005.
    [153] M. Koike, Y. Kamata, T. Ino, D. Hagishima, K. Tatsumura, M. Koyama and A. Nishiyama, “Diffusion and activation of n-type dopants in germanium,” J. Appl. Phys., 104, 023523, 31 July, 2008.
    [154] T. Yamaguchi, Y. Kawasaki, T. Yamashita, Y. Yamamoto, Y. Goto, J. Tsuchimoto, S. Kudo, K. Maekawa, M. Fujisawa, and K. Asai, “Low-resistive and homogenous NiPt-silicide formation using ultra-low temperature annealing with microwave system for 22nm-node CMOS and beyond,” IEDM Tech. Dig., p. 576, 2010.
    [155] J. M. Kowalski, J. E. Kowalski, and B. Lojek, “Microwave Annealing for Low Temperature Activation of As in Si,” Proc. 15th IEEE Int. Conf.Advanced Thermal Processing of Semiconductors (RTP), p. 51, 2007.
    [156] C. Hu, P. Xu, C. Fu, Z. Zhu, X. Gao, A. Jamshidi, M. Noroozi, H. Radamson, D. Wu, and S.-L. Zhang, “Characterization of Ni(Si,Ge) films on epitaxial SiGe(100) formed by microwave annealing,” Appl. Phys.Lett., 101, 092101, 2012.
    [157] T. L. Alford, D. C. Thompson, J. W. Mayer, and N. D. Theodore, “Dopant activation in ion implanted silicon by microwave annealing,” J. Appl. Phys., 106, 114902, 2009.
    [158] T. L. Alford, I. Ahmad, and R. Hubbard, “Variable frequency microwave induced low temperature dopant activation in ion implanted silicon,” in Proc. 17th Int. Conf. Adv. Thermal Process. Semicond., pp. 1-5, Oct., 2009.
    [159] W. G. Opyd, J. F. Gibbons and A. J. Mardinly, "Precipitation of impurities in GaAs amorphized by ion implantation," Appl. Phys. Lett., vol. 53, no. 1515, 1988.
    [160] Tae-Woo Kim, Hyuk-Min Kwon, Seung Heon Shin, Chan-Soo Shin, Won-Kyu Park, Eddie Chiu, Manny Rivera, Jae Ik Lew, Dmitry Veksler, Tommaso Orzali, and Dae-Hyun Kim, "Impact of H2 High-Pressure Annealing Onto InGaAs Quantum-Well Metal–Oxide–Semiconductor Field-Effect Transistors With Al2O3/HfO2 Gate-Stack," IEEE Electron Device Letters, vol. 36, no. 7, pp. 672-674, 2015.
    [161] Guntrade Roll, Jiongjiong Mo, Erik Lind, Sofia Johansson and Lars-Erik Wernersson, "Effect of Gate Voltage Stress on InGaAs MOSFET With HfO2 or Al2O3 Dielectric," IEEE Transactionson Device and Materialsreliability, vol. 16, no. 2, pp. 112-116, 2016.
    [162] A. G. Lind, N. G. Rudawski, N. J. Vito, C. Hatem, M. C. Ridgway, R. Hengstebeck, B. R. Yates and K. S. Jones, "Maximizing electrical activation of ion-implanted Si in In0.53Ga0.47As," Applied Physics Letters, vol. 103, 2013.
    [163] Aaron G. Linda, Henry L. Aldridge, Jr, Cory C. Bomberger, Christopher Hatem, Joshua M. O. Zide and Kevin S. Jones, " Comparison of thermal annealing effects on electrical activation of MBE grown and ion implant Si-doped In0.53Ga0.47As," Journal of Vacuum Science & Technology B, vol. 33, 2015.
    [164] K. S. Jones, A. G. Linda, C. Hatemb, S. Moffattc and M. C. Ridgwayd, "A. Brief Review of Doping Issues in III-V Semiconductors," ECS Transactions, vol. 53, no. 3, pp. 97-105, 2013.
    [165] M. Edmonds, T. J Kent, M. Chang, J. Kachian, R. Droopad, E. Chagarov and A. C. Kummel, "Passivation of surface defects on InGaAs (001) and (110) surfaces in preparation for subsequent gate oxide ALD,"in 2015 International Symposium on VLSI Technology, Systems and Applications, 2015.
    [166] C. Hu, P. Xu, C. Fu, Z. Zhu, X. Gao, A. Jamshidi, M. Noroozi, H. Radamson, D. Wu and S.-L. Zhang, "Characterization of Ni(Si,Ge) films on epitaxial SiGe(100) formed by microwave annealing," Appl. Phys. Lett., no. 101, p. 092101, 2012.
    [167] A. Lind, M. Gill, C. Hatem and K. Jones, "Electrical activation of ion implanted Si in amorphous and crystalline In0.53Ga0.47As," Nuclear Instruments and Methods in Physics Research B, vol. 337, pp. 7-10, 2014.
    [168] C. Licoppe, Y. I. Nissim, C. Meriadec and P. Hénoc, "Recrystallization kinetics pattern in III-V implanted semiconductors," Appl. Phys. Lett., vol. 50, no. 1648, 1987.
    [169] S. PEARTON, "ION IMPLANTATION IN III–V SEMICONDUCTOR TECHNOLOGY," Int. J. Mod. Phys. B, vol. 07, no. 4687, 1993.
    [170] J. Williams and M. Austin, "Low-temperature epitaxial regrowth of ion implanted-amorphous GaAs," Appl. Phys. Lett., vol. 36, no. 994, 1980.
    [171] S. Hogg, D. Llewellyn, H. Tan and M. Ridgway, "Solid-phase epitaxial growth of AlxGa1−xAsAlxGa1−xAs alloys as a function of Al content," Appl. Phys. Lett., vol. 71, no. 1397, 1997.
    [172] S. Hernández, R. Cuscó, N. Blanco, G. González-Dı́az and L. Artús, "Study of the electrical activation of Si + -implanted InGaAs by means of Raman scattering," Journal of Applied Physics, vol. 93, no. 5, 1 March, 2003.

    下載圖示 校內:2023-01-19公開
    校外:2023-01-19公開
    QR CODE