| 研究生: |
古亞師 Ashish Kumar |
|---|---|
| 論文名稱: |
連續層蝕刻和高壓退火對high-k金屬柵極 MOSC 和 SiGe FinFET 電特性影響研究 Investigation of sequential layer etching and high pressure annealing influence on electrical characteristics of high-k metal gate MOSC & SiGe FinFET |
| 指導教授: |
李文熙
Lee, Wen-Hsi |
| 學位類別: |
博士 Doctor |
| 系所名稱: |
電機資訊學院 - 電機工程學系 Department of Electrical Engineering |
| 論文出版年: | 2021 |
| 畢業學年度: | 110 |
| 語文別: | 英文 |
| 論文頁數: | 149 |
| 中文關鍵詞: | 顺序层蚀刻 、原子层蚀刻 、高压退火 、界面陷阱 |
| 外文關鍵詞: | Sequential layer etching, Atomic layer etching, High pressure annealing, Interface traps |
| 相關次數: | 點閱:101 下載:25 |
| 分享至: |
| 查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報 |
未来按比例缩小的Si MOSFET的前景从根本上受到驱动电流饱和的限制. 应结合具有高载流子迁移率和源极注入速度的SiGe MOSFET通道,以规避这种缩放限制, 并允许短通道MOSFET驱动电流的未来发展.因此,突破传统半导体固有的发展局限和摩尔定律的局限,成为寻找下一代半导体材料并研究其相关工艺的根本任务.基于上述困难,本文提出了一种新的制造工艺方法并寻求采用.即,低温高压退火 (HPA) 和顺序层蚀刻 (sALE) 以及该技术的权宜之计已得到彻底研究.
在本研究的第一部分中,6个大气压的高压退火技术(200-450˚C) 作为高 k/金属栅极金属氧化物半导体电容器的金属后退火.为了验证高压退火(HPA)在提高界面陷阱密度,泄漏问题和平带电压偏移方面的能力,将氧化物陷阱电荷,界面态密度和泄漏电流与其他相同结构的电容器进行了退火处理通过微波退火(MWA)进行比较.HPA 表现出低陷阱密度,表明可能去除电荷陷阱并降低漏电流密度.结果表明, 与高功率微波退火相比,HPA工艺可以有效地减少低温下俘获的电荷,并且低温高压退火后漏电流密度的降低对应于电荷陷阱的减少.低温下的HPA作为高k/金属栅极结构的后金属化退火工艺显示出巨大的潜力,因为它具有不希望的效果,例如Al扩散到介电层中.
在本论文的第二部分中, 针对通过改变工艺参数(例如偏置)来制造MOS电容器,以顺序和连续层蚀刻的形式使用具有射频 (RF) 和Ar/Cl混合物的等离子体的效应,使用原子层沉积Al2O3高k栅极电介质和TiN金属栅极的金属氧化物半导体电容器,然后集成顺序层蚀刻 (sALE) 和连续层蚀刻(cALE) 进行比较以进一步蚀刻下来并制造高质量的MOS电容器. 为了进一步研究, 还分析了 C-V, C-V 滞后, EOT, I-V, 跨导 (gm) 等电气特性. 一个重要的结果/结果表明, 顺序层蚀刻是抑制漏电流密度(Jg) 的合适选择, 获得良好的电容,正平带偏移.此外,在MOS电容器上进行连续层蚀刻可以实现更低的氧化物陷阱电荷 (Qot) 和界面态密度 (Dit).
在本论文的第三部分, 通过在500⁰C ~ 600⁰C的低温下应用连续层刻蚀和高压退火,制造了一种改进的电学特性和低损伤的超薄SiGe FinFET. SiGe FinFET可以有效抑制结泄漏, 因此在 VD = -0.1V 时实现了高 ION/IOFF 比 (3.38 × 106 A/µm). sALE 蚀刻的 FinFET 显示出较小的负阈值电压偏移, 在较低鳍片宽度下改进的 DIBL 以及增强的有效迁移率和较低的界面陷阱密度.由于cALE FinFET阈值电压的突然负移和sALE FinFET的改进情况,DIBL受到很大影响.sALE的DIBL为130 mV/V和172 mV/V的cALE,鳍片宽度为40 nm. 根据理解结果,顺序层刻蚀可以各向异性地刻蚀具有较低表面粗糙度的垂直SiGe Fin结构. 此外,对鳍结构进行高压退火,以恢复等离子体连续蚀刻过程中造成的损坏.sALE 蚀刻 FinFET 的最低表面 (RMS) 为0.17 nm, 而 cALE FinFET的最高RMS为0.28 nm. 对于通过连续层蚀刻技术蚀刻的SiGe FinFET,在鳍宽度= 40 nm 和栅极长度= 100 nm 时获得了88 mV/dec 的最低亚阈值摆动. 根据本研究的结果发现, 在制造 FinFET 器件的高功率方法中,与MWA相比, 顺序层蚀刻技术和高压退火比连续层蚀刻更可靠和成功.
The prospect of future scaling in scaled Si MOSFETs is fundamentally limited by drive current saturation. SiGe MOSFET channels with high carrier mobility and source injection velocity should be incorporated to circumvent this scaling limitation and allow future advances in short-channel MOSFET driving current. As a result, the fundamental task of busting beyond the limitations of traditional semiconductors due to its intrinsic development limitations and Moore's Law has become finding the next generation of semiconductor materials and investigating their related processes. Based on the above-mentioned difficulties, in this thesis a novel approach towards a fabrication process has been brought up and sought to be adopted. i.e., high pressure annealing (HPA) at low temperature and sequential layer etching (sALE) and the expedient of this techniques has been thoroughly investigated.
In the first part of this study, high pressure annealing technique at 6 atm over a wide range of temperature (200-450˚C) was introduced as post metal annealing on high-k/metal gate metal-oxide-semiconductor capacitor. To verify the ability of high-pressure annealing (HPA) in improving interface trap density, leakage issue and flat-band voltage shift, oxide trapped charge, interface state density and leakage current were compared with the other MOS)capacitor with same structure was annealed by microwave annealing (MWA) for comparison. HPA demonstrates low trap density, indicating potential removal of charge traps and reduction in leakage current density. The results show that HPA process is effective to minimize the oxide trapped charged at low temperature than by high power microwave annealing and the reduction in leakage current density after high pressure anneal at low temperature corresponds to the reduction in charge traps. HPA at low temperature demonstrates great potential as the post-metallization annealing process for the high-k/metal gate structure due to its undesired effect like Al diffusion into dielectric layer.
In the second part of this thesis, the effect of plasma with a radio frequency (RF) and an Ar/Cl mixture was used in the form of sequential and continuous layer etching in view of fabricating MOS capacitor by varying the process parameter such bias, working pressure, etc. Metal oxide semiconductor capacitors with Al2O3 high-k gate dielectric deposited by atomic layer deposition and with TiN metal gate was used and then sequential layer etching (sALE) and continuous layer etching (cALE) for comparison was integrated to further etch down and fabricate high quality MOS capacitors. For further investigation, electrical characteristics such as C-V, C-V hysteresis, EOT, I-V, transconductance (gm) were also analyzed. An important outcome/results indicate that sequential layer etching is suitable choice in suppressing leakage current density (Jg), obtains good capacitance, positive flat band shift. Moreover, sequential layer etching on MOS capacitor can achieve lower oxide trap charge (Qot), interface state density (Dit).
In the third part of this thesis, an improved electrical characteristics and low damage ultra-thin SiGe FinFETs were fabricated by application of sequential layer etching and high pressure annealing at low temperatures ranging from 500⁰C~600⁰C. SiGe FinFETs can effectively suppress junction leakage and therefore high ION/IOFF ratio (3.38 × 106 A/µm) at VD = -0.1V is achieved. sALE etched FinFET demonstrate less negative threshold voltage shift, an improved DIBL at lower fin width and an enhanced effective mobility and lower interface trap densities. DIBL is greatly influenced due to abrupt negative shift in threshold voltage for cALE FinFET and an improved case for sALE FinFET. DIBL for sALE is 130 mV/V & 172 mV/V for cALE at 40 nm fin width. Based on the understanding results, sequential layer etching can anisotropically etch down the vertical SiGe Fin structure with lower surface roughness. Furthermore, high pressure annealing was applied on fin structure to recover the damages caused during plasma continuous etching process. The lowest surface (RMS) of 0.17 nm was observed for sALE etched FinFET and the highest RMS of 0.28 nm was noted for cALE FinFET. The lowest subthreshold swing of 88 mV/dec was obtained at fin width= 40 nm & gate length= 100 nm for SiGe FinFET that is etched by sequential layer etching technique. Based on the result findings in this study, the sequential layer etching technique and high-pressure annealing has shown to be more reliable and successful than the continuous layer etching compared with MWA at high power approach for the fabrication of FinFET devices.
Reference 1
[1] Moore, Gordon E. "Progress in digital integrated electronics [technical literaiture, copyright 1975 ieee. reprinted, with permission. technical digest. international electron devices meeting, ieee, 1975, pp. 11-13.]." IEEE Solid-State Circuits Society Newsletter 11.3 (2006): 36-37.
[2] Taur, Y., & Ning, T. H. (2021). Fundamentals of modern VLSI devices. Cambridge university press.
[3] Dennard, R. H., Gaensslen, F. H., Yu, H. N., Rideout, V. L., Bassous, E., & LeBlanc, A. R. (1974). Design of ion-implanted MOSFET's with very small physical dimensions. IEEE Journal of Solid-State Circuits, 9(5), 256-268.
[4] Ghani, T., Armstrong, M., Auth, C., Bost, M., Charvat, P., Glass, G., ... & Bohr, M. (2003, December). A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors. In IEEE International Electron Devices Meeting 2003 (pp. 11-6). IEEE.
[5] Jan, C. H., Bai, P., Choi, J., Curello, G., Jacobs, S., Jeong, J., ... & Holt, B. (2005, December). A 65nm ultra low power logic platform technology using uni-axial strained silicon transistors. In IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest. (pp. 60-63). IEEE.
[6] Jan, C. H., Bai, P., Biswas, S., Buehler, M., Chen, Z. P., Curello, G., ... & Mistry, K. (2008, December). A 45nm low power system-on-chip technology with dual gate (logic and I/O) high-k/metal gate strained silicon transistors. In 2008 IEEE International Electron Devices Meeting (pp. 1-4). IEEE.
[7] Packan, P., Akbar, S., Armstrong, M., Bergstrom, D., Brazier, M., Deshpande, H., ... & Natarajan, S. (2009, December). High performance 32nm logic technology featuring 2 nd generation high-k+ metal gate transistors. In 2009 IEEE international electron devices meeting (IEDM) (pp. 1-4). IEEE.
[8] Auth, C., Allen, C., Blattner, A., Bergstrom, D., Brazier, M., Bost, M., ... & Mistry, K. (2012, June). A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors. In 2012 symposium on VLSI technology (VLSIT) (pp. 131-132). IEEE.
[9] Natarajan, S., Agostinelli, M., Akbar, S., Bost, M., Bowonder, A., Chikarmane, V., ... & Zhang, K. (2014, December). A 14nm logic technology featuring 2 nd-generation finfet, air-gapped interconnects, self-aligned double patterning and a 0.0588 µm 2 sram cell size. In 2014 IEEE International Electron Devices Meeting (pp. 3-7). IEEE.
[10] Ghani, T., Mistry, K., Packan, P., Thompson, S., Stettler, M., Tyagi, S., & Bohr, M. (2000, June). Scaling challenges and device design requirements for high performance sub-50 nm gate length planar CMOS transistors. In 2000 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No. 00CH37104) (pp. 174-175). IEEE.
[11] Lo, S. H., Buchanan, D. A., Taur, Y., & Wang, W. (1997). Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide nMOSFET's. IEEE Electron Device Letters, 18(5), 209-211.
[12] Colinge, J. P., & Colinge, C. A. (2005). Physics of semiconductor devices. Springer Science & Business Media.
[13] Wu, C. H., Hung, B. F., Chin, A., Wang, S. J., Wang, X. P., Li, M. F., ... & Liang, M. S. (2007). High-Temperature Stable HfLaON p-MOSFETs With High-Work-Function $hbox {Ir} _ {3}hbox {Si} $ Gate. IEEE electron device letters, 28(4), 292-294.
[14] Wu, C. H., Hung, B. F., Chin, A., Wang, S. J., Yen, F. Y., Hou, Y. T., ... & Liang, M. S. (2006). HfSiON n-MOSFETs Using Low-Work Function $ hboxHfSi_x $ Gate. IEEE electron device letters, 27(9), 762-764.
[15] Wu, C. H., Hung, B. F., Chin, A., Wang, S. J., Yen, F. Y., Hou, Y. T., ... & Liang, M. S. (2006). HfAlON n-MOSFETs incorporating low-work function gate using ytterbium silicide. IEEE electron device letters, 27(6), 454-456.
[16] Wu, C. H., Yu, D. S., Chin, A., Wang, S. J., Li, M. F., Zhu, C., ... & McAlister, S. P. (2006). High work function Ir/sub x/Si gates on HfAlON p-MOSFETs. IEEE electron device letters, 27(2), 90-92.
[17] Ma, M. W., Wu, C. H., Yang, T. Y., Kao, K. H., Wu, W. C., Wang, S. J., ... & Lei, T. F. (2007). Impact of High-$kappa $ Offset Spacer in 65-nm Node SOI Devices. IEEE Electron device letters, 28(3), 238-241.
[18] Hung, B. F., Wu, C. H., Chin, A., Wang, S. J., Yen, F. Y., Hou, Y. T., ... & Liang, M. S. (2007). High-Temperature Stable $hbox {Ir} _ {x}hbox {Si} $ Gates With High Work Function on HfSiON p-MOSFETs. IEEE transactions on electron devices, 54(2), 257-261.
[19] Schaeffer, J. K., Capasso, C., Fonseca, L. R. C., Samavedam, S., Gilmer, D. C., Liang, Y., ... & Tobin, P. J. (2004, December). Challenges for the integration of metal gate electrodes. In IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004. (pp. 287-290). IEEE.
[20] Maszara, W. P., Krivokapic, Z., King, P., Goo, J. S., & Lin, M. R. (2002, December). Transistors with dual work function metal gates by single full silicidation (FUSI) of polysilicon gates. In Digest. International Electron Devices Meeting, (pp. 367-370). IEEE.
[21] Hobbs, C., Fonseca, L., Dhandapani, V., Samavedam, S., Taylor, B., Grant, J., ... & Tobin, P. (2003, June). Fermi level pinning at the polySi/metal oxide interface. In 2003 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No. 03CH37407) (pp. 9-10). IEEE.
[22] Taur, Y., Hu, G. J., Dennard, R. H., Terman, L. M., Ting, C. Y., & Petrillo, K. E. (1985). A self-aligned 1-µm-channel CMOS technology with retrograde n-well and thin epitaxy. IEEE transactions on electron devices, 32(2), 203-209.
[23] Lemme, M. C., Efavi, J. K., Mollenhauer, T., Schmidt, M., Gottlob, H. D. B., Wahlbrink, T., & Kurz, H. (2006). Nanoscale TiN metal gate technology for CMOS integration. Microelectronic Engineering, 83(4-9), 1551-1554.
[24] Cabral Jr, C., Lavoie, C., Ozcan, A. S., Amos, R. S., Narayanan, V., Gusev, E. P., ... & Harper, J. M. E. (2004). Evaluation of thermal stability for CMOS gate metal materials. Journal of the Electrochemical Society, 151(12), F283.
[25] Singanamalla, R., Yu, H., Pourtois, G., Ferain, I., Anil, K. G., Kubicek, S., ... & De Meyer, K. (2006). On the impact of TiN film thickness variations on the effective work function of poly-Si/TiN/SiO/sub 2/and poly-Si/TiN/HfSiON gate stacks. IEEE electron device letters, 27(5), 332-334.
[26] Choi, K., Wen, H. C., Alshareef, H., Harris, R., Lysaght, P., Luan, H., ... & Lee, B. H. (2005, September). The effect of metal thickness, overlayer and high-k surface treatment on the effective work function of metal electrode. In Proceedings of 35th European Solid-State Device Research Conference, 2005. ESSDERC 2005. (pp. 101-104). IEEE.
[27] Takahashi, H., Minakata, H., Morisaki, Y., Xiao, S., Nakabayashi, M., Nishigaya, K., ... & Nara, Y. (2009, December). Ti-capping technique as a breakthrough for achieving low threshold voltage, high mobility, and high reliability of pMOSFET with metal gate and high-k dielectrics technologies. In 2009 IEEE International Electron Devices Meeting (IEDM) (pp. 1-4). IEEE.
[28] Ando, T. (2012). Ultimate scaling of high-κ gate dielectrics: Higher-κ or interfacial layer scavenging?. Materials, 5(3), 478-500.
[29] Veloso, A., Ragnarsson, L. Å., Cho, M. J., Devriendt, K., Kellens, K., Sebaai, F., ... & Hoffmann, T. (2011, June). Gate-last vs. gate-first technology for aggressively scaled EOT logic/RF CMOS. In 2011 Symposium on VLSI Technology-Digest of Technical Papers (pp. 34-35). IEEE.
[30] Veloso, A., Higuchi, Y., Chew, S. A., Devriendt, K., Ragnarsson, L. Å., Sebaai, F., ... & Horiguchi, N. (2012, June). Process control & integration options of RMG technology for aggressively scaled devices. In 2012 Symposium on VLSI Technology (VLSIT) (pp. 33-34). IEEE.
[31] Hisamoto, D., Kaga, T., Kawamoto, Y., & Takeda, E. (1989, December). A fully depleted lean-channel transistor (DELTA)-a novel vertical ultra thin SOI MOSFET. In International Technical Digest on Electron Devices Meeting (pp. 833-836). IEEE.
[32] Jurczak, M., Collaert, N., Veloso, A., Hoffmann, T., & Biesemans, S. (2009, October). Review of FINFET technology. In 2009 IEEE international SOI conference (pp. 1-4). IEEE.
[33] De Marchi, M., Sacchetto, D., Frache, S., Zhang, J., Gaillardon, P. E., Leblebici, Y., & De Micheli, G. (2012, December). Polarity control in double-gate, gate-all-around vertically stacked silicon nanowire FETs. In 2012 International Electron Devices Meeting (pp. 8-4). IEEE.
[34] Suzuki, K., Tanaka, T., Tosaka, Y., Horie, H., & Arimoto, Y. (1993). Scaling theory for double-gate SOI MOSFET's. IEEE Transactions on Electron Devices, 40(12), 2326-2329.
[35] Doyle, B. S., Datta, S., Doczy, M., Hareland, S., Jin, B., Kavalieros, J., ... & Chau, R. (2003). High performance fully-depleted tri-gate CMOS transistors. IEEE Electron Device Letters, 24(4), 263-265.
[36] Singh, N., Agarwal, A., Bera, L. K., Liow, T. Y., Yang, R., Rustagi, S. C., ... & Kwong, D. L. (2006). High-performance fully depleted silicon nanowire (diameter/spl les/5 nm) gate-all-around CMOS devices. IEEE Electron Device Letters, 27(5), 383-386.
[37] Bangsaruntip, S., Cohen, G. M., Majumdar, A., Zhang, Y., Engelmann, S. U., Fuller, N. C. M., ... & Sleight, J. W. (2009, December). High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling. In 2009 IEEE International Electron Devices Meeting (IEDM) (pp. 1-4). IEEE.
[38] Takano, J., Makihara, K., & Ohmi, T. (1993). Chemical oxide passivation for very thin oxide formation. MRS Online Proceedings Library (OPL), 315.
[39] Choi, C. (2012). Thickness and material dependence of capping layers on flatband voltage (VFB) and equivalent oxide thickness (EOT) with high-k gate dielectric/metal gate stack for gate-first process applications. Microelectronic engineering, 89, 34-36.
[40] Kai, H., Xueli, M., Hong, Y., & Wenwu, W. (2013). Modulation of the effective work function of a TiN metal gate for NMOS requisition with Al incorporation. Journal of Semiconductors, 34(7), 076003.
[41] Zafar, S., Callegari, A., Gusev, E., & Fischetti, M. V. (2002, December). Charge trapping in high k gate dielectric stacks. In Digest. International Electron Devices Meeting, (pp. 517-520). IEEE.
[42] Onishi, K., Kang, C. S., Choi, R., Cho, H. J., Gopalan, S., Nieh, R. E., ... & Lee, J. C. (2003). Improvement of surface carrier mobility of HfO/sub 2/MOSFETs by high-temperature forming gas annealing. IEEE Transactions on Electron Devices, 50(2), 384-390.
[43] Nieh, R. E., Kang, C. S., Cho, H. J., Onishi, K., Choi, R., Krishnan, S., ... & Lee, J. C. (2003). Electrical characterization and material evaluation of zirconium oxynitride gate dielectric in TaN-gated NMOSFETs with high-temperature forming gas annealing. IEEE Transactions on electron devices, 50(2), 333-340.
[44] Nozawa, T., Kinoshita, T., Nishizuka, T., Narai, A., Inoue, T., & Nakaue, A. (1995). The electron charging effects of plasma on notch profile defects. Japanese journal of applied physics, 34(4S), 2107.
[45] Kinoshita, T., Hane, M., & McVittie, J. P. (1996). Notching as an example of charging in uniform high density plasmas. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, 14(1), 560-565.
[46] Ootera, H., Oomori, T., Tuda, M., & Namba, K. (1994). Simulation of ion trajectories near submicron-patterned surface including effects of local charging and ion drift velocity toward wafer. Japanese journal of applied physics, 33(7S), 4276.
[47] Okamoto, T., Ide, T., Sasaki, A., Azuma, K., & Nakata, Y. (2004). Irradiation damage in SiO2/Si system induced by photons and/or ions in photo-oxidation and plasma-oxidation. Japanese journal of applied physics, 43(12R), 8002.
[48] Woodworth, J. R., Riley, M. E., Amatucci, V. A., Hamilton, T. W., & Aragon, B. P. (2001). Absolute intensities of the vacuum ultraviolet spectra in oxide etch plasma processing discharges. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, 19(1), 45-55.
[49] Yonekura, K., Goto, K., Matsuura, M., Fujiwara, N., & Tsujimoto, K. (2005). Low-damage damascene patterning using porous inorganic low-dielectric-constant materials. Japanese journal of applied physics, 44(5R), 2976.
[50] Hashimoto, K. (1993). New phenomena of charge damage in plasma etching: Heavy damage only through dense-line antenna. Japanese journal of applied physics, 32(12S), 6109.
[51] Lee, J., Kim, S. N., Kim, D. H., & Chung, I. (2010). Electron shading damage enhancement due to nonuniform in-hole etch rate in deep contact-hole process. Surface and Coatings Technology, 205, S360-S364.
[52] Kitajima, T., Takeo, Y., Petrović, Z. L., & Makabe, T. (2000). Functional separation of biasing and sustaining voltages in two-frequency capacitively coupled plasma. Applied Physics Letters, 77(4), 489-491.
[53] Eriguchi, K., Takao, Y., & Ono, K. (2014, May). A new aspect of plasma-induced physical damage in three-dimensional scaled structures—sidewall damage by stochastic straggling and sputtering. In 2014 IEEE International Conference on IC Design & Technology (pp. 1-5). IEEE.
[54] Choi, Y. K., King, T. J., & Hu, C. (2002). A spacer patterning technology for nanoscale CMOS. IEEE Transactions on Electron Devices, 49(3), 436-441.
[55] Asenov, A., Brown, A. R., Davies, J. H., Kaya, S., & Slavcheva, G. (2003). Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs. IEEE transactions on electron devices, 50(9), 1837-1852.
[56] Yamaguchi, T., Namatsu, H., Nagase, M., Yamazaki, K., & Kurihara, K. (1997). Nanometer-scale linewidth fluctuations caused by polymer aggregates in resist films. Applied physics letters, 71(16), 2388-2390.
[57] Wu, X., Chan, P. C., & Chan, M. (2004). Impacts of nonrectangular fin cross section on the electrical characteristics of FinFET. IEEE Transactions on electron devices, 52(1), 63-68.
[58] Patel, K., Liu, T. J. K., & Spanos, C. J. (2009). Gate line edge roughness model for estimation of FinFET performance variability. IEEE Transactions on Electron Devices, 56(12), 3055-3063.
[59] Rathore, R. S., & Rana, A. K. (2018). Impact of line edge roughness on the performance of 14-nm FinFET: Device-circuit Co-design. Superlattices and Microstructures, 113, 213-227.
[60] Shin, C. (2016). Variation-aware advanced CMOS devices and SRAM (Vol. 56). Dordrecht: Springer.
[61] Kim, H., & Hwang, H. (1999). High-quality ultrathin gate oxide prepared by oxidation in D 2 O. Applied physics letters, 74(5), 709-710.
[62] Park, J., Huh, Y. J., & Hwang, H. (1998). Effect of hydrogen partial pressure on the reliability characteristics of ultrathin gate oxide. Japanese journal of applied physics, 37(11B), L1347.
[63] Pezzi, R. P., Miotti, L., Bastos, K. P., Soares, G. V., Driemeier, C., Baumvol, I. J. R., ... & Colombo, L. (2004). Hydrogen and deuterium incorporation and transport in hafnium-based dielectric films on silicon. Applied physics letters, 85(16), 3540-3542.
[64] Liao, M. H., Hsieh, C. P., & Lee, C. C. (2017). The investigation of self-heating effect on Si1-xGex FinFETs with different device structures, Ge concentration, and operated voltages. AIP Advances, 7(5), 055105.
[65] Hashemi, P., Ando, T., Balakrishnan, K., Koswatta, S., Lee, K. L., Ott, J. A., ... & Mo, R. T. (2017, April). High performance PMOS with strained high-Ge-content SiGe fins for advanced logic applications. In 2017 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA) (pp. 1-2). IEEE.
[66] Lee, C. H., Kim, H., Jamison, P., Southwick, R. G., Mochizuki, S., Watanabe, K., ... & Paruchuri, V. (2016, June). Selective GeO x-scavenging from interfacial layer on Si 1− x Ge x channel for high mobility Si/Si 1− x Ge x CMOS application. In 2016 IEEE Symposium on VLSI Technology (pp. 1-2). IEEE.
[67] Punchaipetch, P., Miyashita, M., Uraoka, Y., Fuyuki, T., Sameshima, T., & Horii, S. (2006). Improving high-κ gate dielectric properties by high-pressure water vapor annealing. Japanese journal of applied physics, 45(2L), L120.
[68] Berggren, K., Xia, Q., Likharev, K. K., Strukov, D. B., Jiang, H., Mikolajick, T., ... & Raychowdhury, A. (2020). Roadmap on emerging hardware and technology for machine learning. Nanotechnology, 32(1), 012002.
Reference 2
[1] Kanarik, K. J., Lill, T., Hudson, E. A., Sriraman, S., Tan, S., Marks, J., ... & Gottscho, R. A. (2015). Overview of atomic layer etching in the semiconductor industry. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, 33(2), 020802.
[2] Faraz, T., Roozeboom, F., Knoops, H. C. M., & Kessels, W. M. M. (2015). Atomic layer etching: what can we learn from atomic layer deposition?. ECS Journal of Solid State Science and Technology, 4(6), N5023.
[3] Posseme, N., Pollet, O., & Barnola, S. (2014). Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium. Applied Physics Letters, 105(5), 051605.
[4] Khan, M. S. A. (2016). Evaluation of atomic layer etching possibility at Lund Nano Lab.
[5] Oehrlein, G. S., Metzler, D., & Li, C. (2015). Atomic layer etching at the tipping point: an overview. ECS Journal of Solid State Science and Technology, 4(6), N5041.
[6] Jung, W., Misiuk, A., & Yang, D. (2006). Effect of high pressure annealing on electrical properties of nitrogen and germanium doped silicon. Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, 253(1-2), 214-216.
[7] Ueda, K., & Kasu, M. (2008). High-pressure and high-temperature annealing effects of boron-implanted diamond. Diamond and related materials, 17(4-5), 502-505.
[8] Thostenson, E. T., & Chou, T. W. (1999). Microwave processing: fundamentals and applications. Composites Part A: Applied Science and Manufacturing, 30(9), 1055-1071.
[9] Metaxas, A. C. (1996). Foundations of electroheat. A unified approach. In Fuel and Energy Abstracts (Vol. 3, No. 37, p. 193).
[10] Banwell, C. N. (1972). Fundamentals of molecular spectroscopy.
[11] Stuerga, D. (2006). Microwave-material interactions and dielectric properties, key ingredients for mastery of chemical microwave processes. Microwaves in organic synthesis, 2, 1-59.
[12] Frohlich, H. (1949). Theory of Dielectrics: Oxford Univ. Press, London, 169.
[13] Zeghichi, L., Mokhnache, L., & Djebabra, M. (2011). Monte Carlo simulation for an electrical discharge in O2. In Advanced Materials Research (Vol. 227, pp. 211-214). Trans Tech Publications Ltd.
[14] Lidström, P., Tierney, J., Watheyb, B., & Westmana, J. (2001). Microwave assisted organic synthesisÐa review. Tetrahedron, 57, 9225-9283.
[15] Bilecka, I., & Niederberger, M. (2010). Microwave chemistry for inorganic nanomaterials synthesis. Nanoscale, 2(8), 1358-1374.
[16] Sze, S. M., Li, Y., & Ng, K. K. (2021). Physics of semiconductor devices. John wiley & sons.
[17] Deal, B. E. (1980). Standardized terminology for oxide charges associated with thermally oxidized silicon. IEEE Transactions on Electron Devices, 27(3), 606-608.
Reference 3
[1] C. C. Hobbs et al., "Fermi-level pinning at the polysilicon/metal-oxide interface-Part II," IEEE Transactions on Electron Devices, vol. 51, no. 6, pp. 978-984, 2004.
[2] Y. Taur, G. J. Hu, R. H. Dennard, L. M. Terman, C.-Y. Ting, and K. E. Petrillo, "A self-aligned 1-µm-channel CMOS technology with retrograde n-well and thin epitaxy," IEEE transactions on electron devices, vol. 32, no. 2, pp. 203-209, 1985.
[3] H. Kim and H. Hwang, "High-quality ultrathin gate oxide prepared by oxidation in D2 O," Applied physics letters, vol. 74, no. 5, pp. 709-710, 1999.
[4] J. Park, Y. J. Huh, and H. Hwang, "Effect of hydrogen partial pressure on the reliability characteristics of ultrathin gate oxide," Japanese journal of applied physics, vol. 37, no. 11B, p. L1347, 1998.
[5] R. P. Pezzi et al., "Hydrogen and deuterium incorporation and transport in hafnium-based dielectric films on silicon," Applied physics letters, vol. 85, no. 16, pp. 3540-3542, 2004.
[6] S. Inumiya et al., "Sub-1.3 nm amorphous tantalum pentoxide gate dielectrics for damascene metal gate transistors," Japanese Journal of Applied Physics, vol. 39, no. 4S, p. 2087, 2000.
[7] J.-C. Yu, B. C. Lai, and J.-M. Lee, "Fabrication and characterization of metal-oxide-semiconductor field-effect transistors and gated diodes using Ta/sub 2/O/sub 5/gate oxide," IEEE Electron Device Letters, vol. 21, no. 11, pp. 537-539, 2000.
[8] B. Claflin, M. Binger, and G. Lucovsky, "Interface studies of tungsten nitride and titanium nitride composite metal gate electrodes with thin dielectric layers," Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 16, no. 3, pp. 1757-1761, 1998.
[9] E. K. Evangelou et al., "Characterization of magnetron sputtering deposited thin films of TiN for use as a metal electrode on TiN/SiO 2/Si metal–oxide–semiconductor devices," Journal of Applied Physics, vol. 88, no. 12, pp. 7192-7196, 2000.
[10] D. Gilmer et al., "Investigation of titanium nitride gates for tantalum pentoxide and titanium dioxide dielectrics," Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 18, no. 4, pp. 1158-1162, 2000.
[11] J. W. Lee, C. H. Han, J.-S. Park, and J. W. Park, "Electrical Characteristics and Thermal Stability of W, WN x, and TiN Barriers in Metal/Ta2 O 5/Si Gate Devices," Journal of the Electrochemical Society, vol. 148, no. 3, p. G95, 2001.
[12] T. Ando, "Ultimate scaling of high-κ gate dielectrics: Higher-κ or interfacial layer scavenging?," Materials, vol. 5, no. 3, pp. 478-500, 2012.
[13] H. Takahashi et al., "Ti-capping technique as a breakthrough for achieving low threshold voltage, high mobility, and high reliability of pMOSFET with metal gate and high-k dielectrics technologies," in 2009 IEEE International Electron Devices Meeting (IEDM), 2009: IEEE, pp. 1-4.
[14] A. Veloso et al., "Process control & integration options of RMG technology for aggressively scaled devices," in 2012 Symposium on VLSI Technology (VLSIT), 2012: IEEE, pp. 33-34.
[15] A. Veloso et al., "Gate-last vs. gate-first technology for aggressively scaled EOT logic/RF CMOS," in 2011 Symposium on VLSI Technology-Digest of Technical Papers, 2011: IEEE, pp. 34-35.
[16] M. S. Joo, B. J. Cho, N. Balasubramanian, and D.-L. Kwong, "Thermal instability of effective work function in metal/high-/spl kappa/stack and its material dependence," IEEE electron device letters, vol. 25, no. 11, pp. 716-718, 2004.
[17] Y. Sugimoto, M. Kajiwara, K. Yamamoto, Y. Suehiro, D. Wang, and H. Nakashima, "Dependences of effective work functions of TaN on HfO2 and SiO2 on post-metallization anneal," Thin Solid Films, vol. 517, no. 1, pp. 204-206, 2008.
[18] H. Wong, J. Zhang, S. Dong, K. Kakushima, and H. Iwai, "Thermal annealing, interface reaction, and lanthanum-based sub-nanometer EOT gate dielectrics," Vacuum, vol. 118, pp. 2-7, 2015.
[19] O. S. Yoo et al., "Effect of Si interlayer thickness and post-metallization annealing on Ge MOS capacitor on Ge-on-Si substrate," Materials Science and Engineering: B, vol. 154, pp. 102-105, 2008.
[20] J. Zhang et al., "Modulation of charge trapping and current-conduction mechanism of TiO2-doped HfO2 gate dielectrics based MOS capacitors by annealing temperature," Journal of Alloys and Compounds, vol. 647, pp. 1054-1060, 2015.
[21] J. Zhang, H. Wong, K. Kakushima, and H. Iwai, "XPS study on the effects of thermal annealing on CeO2/La2O3 stacked gate dielectrics," Thin Solid Films, vol. 600, pp. 30-35, 2016.
[22] P.-C. Jiang and J.-S. Chen, "Effects of Post-Metal Annealing on Electrical Characteristics and Thermal Stability of W 2 N/Ta2 O 5/Si MOS Capacitors," Journal of The Electrochemical Society, vol. 151, no. 11, p. G751, 2004.
[23] P. Punchaipetch, M. Miyashita, Y. Uraoka, T. Fuyuki, T. Sameshima, and S. Horii, "Improving high-κ gate dielectric properties by high-pressure water vapor annealing," Japanese journal of applied physics, vol. 45, no. 2L, p. L120, 2006.
[24] A. Satta et al., "Diffusion, activation, and regrowth behavior of high dose P implants in Ge," Applied Physics Letters, vol. 88, no. 16, p. 162118, 2006.
[25] P. Rai-Choudhury, "Proceedings of the Electrochemical Society Symposium on Diagnostic Techniques for Semiconductor Materials and Devices," 1997: The Electrochemical Society.
[26] R. Hillard, J. Heddleson, D. Zier, P. Rai-Choudhury, and D. Schroder, "Direct and rapid method for determining flatband voltage from non-equilibrium capacitance voltage data," Diagnostic Techniques for Semiconductor Materials and Devices, vol. 261, 1992.
[27] P. Chen et al., "Hf O 2 gate dielectric on (NH 4) 2 S passivated (100) GaAs grown by atomic layer deposition," Journal of applied physics, vol. 103, no. 3, p. 034106, 2008.
[28] H. Kai, M. Xueli, Y. Hong, and W. Wenwu, "Modulation of the effective work function of a TiN metal gate for NMOS requisition with Al incorporation," Journal of Semiconductors, vol. 34, no. 7, p. 076003, 2013.
[29] E. Nicollian and A. Goetzberger, "The si-sio, interface–electrical properties as determined by the metal-insulator-silicon conductance technique," The Bell System Technical Journal, vol. 46, no. 6, pp. 1055-1033, 1967.
[30] Y. Seo, S. Lee, I. An, C. Song, and H. Jeong, "Conduction mechanism of leakage current due to the traps in ZrO2 thin film," Semiconductor science and technology, vol. 24, no. 11, p. 115016, 2009.
[31] Perera, R., Ikeda, A., Hattori, R., & Kuroki, Y. (2003). Effects of post annealing on removal of defect states in silicon oxynitride films grown by oxidation of silicon substrates nitrided in inductively coupled nitrogen plasma. Thin Solid Films, 423(2), 212-217.
[32] Chiu, F. C. (2006). Interface characterization and carrier transportation in metal/HfO2/silicon structure. Journal of Applied Physics, 100(11), 114102.
[33] K. Xiong, J. Robertson, M. C. Gibson, and S. J. Clark, "Defect energy levels in HfO2 high-dielectric-constant gate oxide," Applied Physics Letters, vol. 87, p. 183505, 2005.
Reference 4
[1] Lam Research Corporation. (2016), Lam research introduces dielectric atomic layer etching capability for advanced logic.
[2] Carver, C. T., Plombon, J. J., Romero, P. E., Suri, S., Tronic, T. A., & Turkot Jr, R. B. (2015). Atomic layer etching: An industry perspective. ECS Journal of Solid State Science and Technology, 4(6), N5005.
[3] Kinoshita, T., Hane, M., & McVittie, J. P. (1996). Notching as an example of charging in uniform high density plasmas. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, 14(1), 560-565.
[4] Nishikawa, K., Ootera, H., Tomohisa, S., & Oomori, T. (2000). Transport mechanisms of ions and neutrals in low-pressure, high-density plasma etching of high aspect ratio contact holes. Thin Solid Films, 374(2), 190-207.
[5] O. O. Awadelkarim, S. J. Fonash, P. I. Mikulan, and Y. D. Chan, "Plasma‐charging damage to gate SiO2 and SiO2/Si interfaces in submicron n‐channel transistors: Latent defects and passivation/depassivation of defects by hydrogen," Journal of Applied Physics, vol. 79, pp. 517-525, 1996.
[6] C. T. Gabriel and J. P. McVittie, "Effect of plasma overetch of polysilicon on gate oxide damage," Journal of Vacuum Science & Technology A, vol. 13, pp. 900-904, 1995.
[7] T. S. Jang, M. H. Ha, K. D. Yoo, and B. K. Kang, "Plasma process induced damages on n-MOSFET with plasma oxidized and nitrided gate dielectrics," Microelectronic Engineering, vol. 75, pp. 443-452, 11// 2004.
[8] M. Kim, J. Lee, D. Kim, and G. Min, "Novel degradation model of MOSFET thin gate oxide induced by VUV photons during high density plasma oxide deposition," Surface and Coatings Technology, vol. 228, Supplement 1, pp. S511-S515, 8/15/ 2013.
[9] T. Pei-Jer, C. Yi-Yuan, and C.-L. Kuei-Shu, "Plasma charging damage on MOS devices with gate insulator of high-dielectric constant material," IEEE Electron Device Letters, vol. 22, pp. 527-529, 2001.
[10] W.-T. Weng, Y.-J. Lee, H.-C. Lin, and T.-Y. Huang, "A comparison of plasma-induced damage on the reliability between high-k/metal-gate and SiO2/poly-gate complementary metal oxide semiconductor technology," Solid-State Electronics, vol. 54, pp. 368-377, 4// 2010.
[11] Cheung, K. P. (2000). Plasma charging damage. Springer Science & Business Media.
[12] Min, K. S., Kang, C. Y., Yoo, O. S., Park, B. J., Kim, S. W., Young, C. D., ... & Yeom, G. Y. (2008, April). Plasma induced damage of aggressively scaled gate dielectric (EOT≪ 1.0 nm) in metal gate/high-k dielectric CMOSFETs. In 2008 IEEE International Reliability Physics Symposium (pp. 723-724). IEEE.
[13] C. Shang-Jr, C. Steve Shao-Shiun, and L. Horng-Chih, "Charge Pumping Profiling Technique for the Evaluation of Plasma-Charging-Enhanced Hot-Carrier Effect in Short-N-Channel Metal-Oxide-Semiconductor Field-Effect Transistors," Japanese Journal of Applied Physics, vol. 41, p. 4493, 2002.
[14] Vitale, S. A., & Smith, B. A. (2003). Reduction of silicon recess caused by plasma oxidation during high-density plasma polysilicon gate etching. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, 21(5), 2205-2211.
[15] Ohchi, T., Kobayashi, S., Fukasawa, M., Kugimiya, K., Kinoshita, T., Takizawa, T., ... & Tatsumi, T. (2008). Reducing damage to Si substrates during gate etching processes. Japanese Journal of Applied Physics, 47(7R), 5324.
[16] Eriguchi, K., Matsuda, A., Nakakubo, Y., Kamei, M., Ohta, H., & Ono, K. (2009). Effects of plasma-induced Si recess structure on n-MOSFET performance degradation. IEEE electron device letters, 30(7), 712-714.
[17] Eriguchi, K., Nakakubo, Y., Matsuda, A., Takao, Y., & Ono, K. (2009). Plasma-induced defect-site generation in Si substrate and its impact on performance degradation in scaled MOSFETs. IEEE electron device letters, 30(12), 1275-1277.
[18] Aoyagi, Y., Shinmura, K., Kawasaki, K., Tanaka, T., Gamo, K., Namba, S., & Nakamoto, I. (1992). Molecular layer etching of GaAs. Applied physics letters, 60(8), 968-970.
[19] Matsuura, T., Murota, J., Sawada, Y., & Ohmi, T. (1993). Self‐limited layer‐by‐layer etching of Si by alternated chlorine adsorption and Ar+ ion irradiation. Applied physics letters, 63(20), 2803-2805.
[20] Athavale, S. D., & Economou, D. J. (1996). Realization of atomic layer etching of silicon. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, 14(6), 3702-3705.
[21] Okada, H., Inagaki, K., Goto, H., Endo, K., Hirose, K., & Mori, Y. (2002). First-principles molecular-dynamics calculations and STM observations of dissociative adsorption of Cl2 and F2 on Si (0 0 1) surface. Surface science, 515(2-3), 287-295.
[22] Hobbs, C. C., Fonseca, L. R., Knizhnik, A., Dhandapani, V., Samavedam, S. B., Taylor, W. J., ... & Tobin, P. J. (2004). Fermi-level pinning at the polysilicon/metal-oxide interface-Part II. IEEE Transactions on Electron Devices, 51(6), 978-984.
[23] Taur, Y., Hu, G. J., Dennard, R. H., Terman, L. M., Ting, C. Y., & Petrillo, K. E. (1985). A self-aligned 1-µm-channel CMOS technology with retrograde n-well and thin epitaxy. IEEE transactions on electron devices, 32(2), 203-209.
[24] Singanamalla, R., Yu, H., Pourtois, G., Ferain, I., Anil, K. G., Kubicek, S., ... & De Meyer, K. (2006). On the impact of TiN film thickness variations on the effective work function of poly-Si/TiN/SiO/sub 2/and poly-Si/TiN/HfSiON gate stacks. IEEE electron device letters, 27(5), 332-334.
[25] Fischer, A., Janek, R., Boniface, J., Lill, T., Kanarik, K. J., Pan, Y., ... & Gottscho, R. A. (2017, March). Plasma-assisted thermal atomic layer etching of Al2O3. In Advanced Etch Technology for Nanopatterning VI (Vol. 10149, p. 101490H). International Society for Optics and Photonics.
[26] Takahashi, H., Minakata, H., Morisaki, Y., Xiao, S., Nakabayashi, M., Nishigaya, K., ... & Nara, Y. (2009, December). Ti-capping technique as a breakthrough for achieving low threshold voltage, high mobility, and high reliability of pMOSFET with metal gate and high-k dielectrics technologies. In 2009 IEEE International Electron Devices Meeting (IEDM) (pp. 1-4). IEEE.
[27] Lee, D. H., Bae, J. W., Park, S. D., & Yeom, G. Y. (2001). Development of a low angle forward reflected neutral oxygen beam for materials processing. Thin Solid Films, 398, 647-651.
[28] Rai-Choudhury, P. (Ed.). (1997). Proceedings of the Electrochemical Society Symposium on Diagnostic Techniques for Semiconductor Materials and Devices. The Electrochemical Society.
[29] Hillard, R. J., Heddleson, J. M., Zier, D. A., Rai-Choudhury, P., & Schroder, D. K. (1992). Direct and rapid method for determining flatband voltage from non-equilibrium capacitance voltage data. Diagnostic Techniques for Semiconductor Materials and Devices, 261.
[30] Nicollian, E. H., & Goetzberger, A. (1967). The si-sio, interface–electrical properties as determined by the metal-insulator-silicon conductance technique. The Bell System Technical Journal, 46(6), 1055-1033.
[31] Schroder, D. K. (2015). Semiconductor material and device characterization. John Wiley & Sons.
Reference 5
[1] Verdonckt-Vandebroek, S., Crabbe, E. F., Meyerson, B. S., Harame, D. L., Restle, P. J., Stork, J. M. C., ... & Warren, A. C. (1991). High-mobility modulation-doped SiGe-channel p-MOSFETs. IEEE Electron Device Letters, 12(8), 447-449.
[2] Changho Shin, Jeong-Kyu Kim, Gwang-Sik Kim, Hyunjae Lee, Changhwan Shin, Jong-Kook Kim, Byung Jin Cho, Hyun-Yong Yu, IEEE Trans. Electron Devices 63(2016) 4167–4172.
[3] Yiming Li, Han-Tung Chang, Chun-Ning Lai, Pei-Jung Chao, Chieh-Yang Chen, IEDM Tech. (2015) 34.4.1–34.4.4.
[4] Nauman Z. Butt, Jeffrey B. Johnson, IEEE Electron Device Lett. 33 (2012) 1099–1101.
[5] King, J. C., & Hu, C. (1994). Effect of low and high temperature anneal on process-induced damage of gate oxide. IEEE electron device letters, 15(11), 475-476.
[6] Sekigawa, T. (1984). Calculated threshold-voltage characteristics of an XMOS transistor having an additional bottom gate. Solid-State Electronics, 27(8), 827-828.
[7] Masahara, M., Liu, Y., Hosokawa, S., Matsukawa, T., Ishii, K., Tanoue, H., ... & Suzuki, E. (2004). Ultrathin channel vertical DG MOSFET fabricated by using ion-bombardment-retarded etching. IEEE Transactions on Electron Devices, 51(12), 2078-2085.
[8] V. N. Bliznetsov, L. K. Bera, H. Y. Soo, N. Balasubramanian, R. Kumar, G.-Q. Lo, et al., "Plasma etching for sub-20-nm TaN metal gates on high-k dielectrics," IEEE transactions on semiconductor manufacturing, vol. 20, pp. 143-149, 2007.
[9] T. Okamoto, T. Ide, A. Sasaki, K. Azuma, and Y. Nakata, "Irradiation damage in SiO2/Si system induced by photons and/or ions in photo-oxidation and plasma-oxidation," Japanese journal of applied physics, vol. 43, p. 8002, 2004.
[10] K. Yonekura, K. Goto, M. Matsuura, N. Fujiwara, and K. Tsujimoto, "Low-damage damascene patterning using porous inorganic low-dielectric-constant materials," Japanese journal of applied physics, vol. 44, p. 2976, 2005.
[11] G. Oehrlein, R. Tromp, Y. Lee, and E. Petrillo, "Study of silicon contamination and near‐surface damage caused by CF4/H2 reactive ion etching," Applied physics letters, vol. 45, pp. 420-422, 1984.
[12] C. Petti, J. McVittie, and J. Plummer, "Characterization of surface mobility on the sidewalls of dry-etched trenches," in Electron Devices Meeting, 1988. IEDM'88. Technical Digest., International, 1988, pp. 104-107.
[13] R. Chao, K. K. Kohli, Y. Zhang, A. Madan, G. R. Muthinti, A. J. Hong, et al., "Multitechnique metrology methods for evaluating pitch walking in 14 nm and beyond FinFETs," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 13, pp. 041411-041411, 2014.
[14] Min, K. S., Kang, C. Y., Park, C., Park, C. S., Park, B. J., Park, J. B., ... & Yeom, G. Y. (2009, December). A novel damage-free high-k etch technique using neutral beam-assisted atomic layer etching (NBALE) for sub-32nm technology node low power metal gate/high-k dielectric CMOSFETs. In 2009 IEEE International Electron Devices Meeting (IEDM) (pp. 1-4). IEEE.
[15] Park, J. B., Lim, W. S., Park, B. J., Park, I. H., Kim, Y. W., & Yeom, G. Y. (2009). Atomic layer etching of ultra-thin HfO2 film for gate oxide in MOSFET devices. Journal of Physics D: Applied Physics, 42(5), 055202.
[16] Cheng, P. H., Wang, C. I., Ling, C. H., Lu, C. H., Yin, Y. T., & Chen, M. J. (2019). Low-Temperature Conformal Atomic Layer Etching of Si with a Damage-Free Surface for Next-Generation Atomic-Scale Electronics. ACS Applied Nano Materials, 2(7), 4578-4583.
[17] Ho, S. H., Chang, T. C., Wu, C. W., Lo, W. H., Chen, C. E., Tsai, J. Y., ... & Sze, S. M. (2013). Investigation of an anomalous hump in gate current after negative-bias temperature-instability in HfO2/metal gate p-channel metal-oxide-semiconductor field-effect transistors. Applied Physics Letters, 102(1), 012103.
[18] Chen, B. W., Chang, T. C., Hung, Y. J., Hsieh, T. Y., Tsai, M. Y., Liao, P. Y., ... & Yan, J. Y. (2015). Impact of repeated uniaxial mechanical strain on p-type flexible polycrystalline thin film transistors. Applied Physics Letters, 106(18), 183503.
[19] Krishnan, S. A., Quevedo-Lopez, M., Li, H. J., Kirsch, P., Choi, R., Young, C., ... & Lee, J. C. (2006, March). Impact of nitrogen on PBTI characteristics of HfSiON/TiN gate stacks. In 2006 IEEE International Reliability Physics Symposium Proceedings (pp. 325-328). IEEE.
[20] Garros, X., Cassé, M., Reimbold, G., Martin, F., Leroux, C., Fanton, A., ... & Boulanger, F. (2008, June). Guidelines to improve mobility performances and BTI reliability of advanced High-K/Metal gate stacks. In 2008 Symposium on VLSI Technology (pp. 68-69). IEEE.
[21] P.-C. Jiang and J.-S. Chen, "Effects of Post-Metal Annealing on Electrical Characteristics and Thermal Stability of W 2 N/Ta2 O 5/Si MOS Capacitors," Journal of The Electrochemical Society, vol. 151, no. 11, p. G751, 2004.
[22] P. Punchaipetch, M. Miyashita, Y. Uraoka, T. Fuyuki, T. Sameshima, and S. Horii, "Improving high-κ gate dielectric properties by high-pressure water vapor annealing," Japanese journal of applied physics, vol. 45, no. 2L, p. L120, 2006.
[23] A. Satta et al., "Diffusion, activation, and regrowth behavior of high dose P implants in Ge," Applied Physics Letters, vol. 88, no. 16, p. 162118, 2006.
[24] Schroder, D. K. (2015). Semiconductor material and device characterization. John Wiley & Sons.
[25] T. Pei-Jer, C. Yi-Yuan, and C.-L. Kuei-Shu, "Plasma charging damage on MOS devices with gate insulator of high-dielectric constant material," IEEE Electron Device Letters, vol. 22, pp. 527-529, 2001.