簡易檢索 / 詳目顯示

研究生: 邱柏揚
Chiu, Po-Yang
論文名稱: 以電壓衰退為導向且能考量多重電壓島之三维平面規劃方法
Voltage-Island Driven IR-Drop Aware Floorplanning Methodology for 3D ICs
指導教授: 林家民
Lin, Jai-Ming
學位類別: 碩士
Master
系所名稱: 電機資訊學院 - 電機工程學系
Department of Electrical Engineering
論文出版年: 2016
畢業學年度: 104
語文別: 中文
論文頁數: 46
中文關鍵詞: 平面規劃三維晶片固定框架電壓衰退多重電壓島
外文關鍵詞: floorplanning, 3D IC, fixed-outline, IR Drop, MSV
相關次數: 點閱:106下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 隨著半導體製程的演進,在二維晶片做電路設計遇到越來越多的挑戰,因此越來越多人將三維晶片視為是突破瓶頸的解決方案,其中平面規劃在三維晶片實體設計依然扮演很重要的角色。近年來隨者行動裝置的普及和熱門,消費者越來越重視電力續航之問題,因此發展低功耗的晶片已成為晶片設計中的重要議題。隨者晶片設計中的模組數量增多,晶片的功率密度(power density)更勝以往,造成晶片中動態功率消耗和漏電流功耗等問題更加嚴重,許多用來降低晶片功率消耗的方法相繼被提出,其中多重電壓源是解決此問題的有效方法之一。
    過去鮮少有針對三維平面規劃同時考量多重電壓源的相關研究,因為多重電壓源的平面規劃比起傳統平面規劃複雜許多,它不僅需要盡量將相同電壓域的模組擺置在鄰近區域以形成一個電壓島來降低電源繞線的複雜度,同時還必須考量訊號線的繞線長度,並且滿足固定框架的限制。本研究提出了一個可以處理多重電壓島之三維平面規劃演算法流程,透過我們的方法所產生的平面規劃,可以有效減少電源規劃階段所需的繞線資源同時減少電路的電壓衰退。

    Several recent studies show that 3D ICs offer significant power savings and higher device density over 2D ICs. Hence, developing a floorplanner which can consider different issues for 3D ICs becomes more and more important. Low power is one of the key driving forces in semiconductor industry. To reduce power consumption, multiple supply voltages (MSVs) is one of the most effective techniques which is widely applied in real designs. However, to implement MSVs in 3D ICs will make floorplanning become more complex. Thus, this research proposes a voltage-island driven fixed-outline floorplanning methodology for 3D ICs. In addition to wirelength consideration, modules in the same voltage domain will be placed in close regions for facilitating power planning. Moreover, the fixed-outline constraint is satisfied. Hence, IR-drop violation and power delivery network (PDN) resource usage can be optimized simultaneously.

    摘要 i SUMMARY ii 誌謝 v 目錄 vi 表目錄 ix 圖目錄 x 第一章 緒論 1 1.1 相關文獻探討 3 1.1.1 三維平面規劃 3 1.1.2 多重電壓島平面規劃 4 1.2 研究動機 5 1.3 研究貢獻 8 1.4 論文架構 9 第二章 相關研究 10 2.1 多重電壓島的平面規劃演算法 10 2.1.1 全域散佈演算法 10 2.1.2 多重電壓島的建立流程 12 2.1.3合法化流程 13 2.2 三維平面規劃演算法 16 2.2.1模組分層演算法 16 2.2.2擺置TSV的最小費用流演算法 18 第三章 考量多重電壓源之三維平面規劃 20 3.1考量多重電壓源之三維平面規劃流程 20 3.2模組分層階段 22 3.3全域散佈階段 25 3.3.1 數學解析法回顧 25 3.3.2 三維晶片的全域散佈模型 25 3.4合法化階段 27 3.4.1 超級模塊建立 28 3.4.2 模組合法化 29 3.5 TSV指派階段 30 3.6 電源網路與電源分析器 32 3.6.1 電源網路的結構 32 3.6.2 三維電源網路 33 3.6.3 電壓衰退分析 34 第四章 實驗結果 35 4.1 實驗環境 35 4.2 實驗結果 35 4.2.1 模組分層之平面規劃結果 35 4.2.2 考量多重電壓島之三維平面規劃結果 36 4.2.3 TSV指派結果 39 第五章 結論 41 第六章 参考文獻 42

    [1] K. Athikulwongse, A. Chakraborty, J.-S. Yang, D. Z. Pan, and S. K. Lim, “Stress-driven 3D-IC Placement with TSV Keep-out Zone and Regularity Study,” in Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pp. 669-674, 2010.
    [2] R. L. S. Ching, E. F. Y. Young, K. C. K. Leung, and C. Chu, “Postplacement voltage island generation,” in Proc. ICCAD, pp. 641-646, 2006.
    [3] J. Cong, J. Wei, and Y. Zhang, “A Thermal-driven Floorplanning Algorithm for 3D ICs,” in Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pp. 306-313, 2004.
    [4] T.-C. Chen, Y.-W. Chang, and S.-C. Lin, “IMF: Interconnect-driven Multilevel Floorplanning for Large-scale Building-module Designs,” in Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pp. 159-164, 2005.
    [5] T.-C. Chen , Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang, " NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs With Preplaced Blocks and Density Constraints,"in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ,vol. 27, no. 7, pp.1228-1240, 2008
    [6] S. Chen, and T. Yoshimura, “Multi-layer Floorplanning for Stacked ICs: Configuration Number and Fixed-outline Constraints,” Integration, the VLSI Journal, vol. 43, no. 4, pp. 378-388, 2010.
    [7] H.-T. Chen, H.-L. Lin, Z.-C. Wang, and T. Hwang,” A new architecture for power network in 3D IC,” in Design, Automation & Test in Europe, pp.1-6, 2011.
    [8] K.-C. Chan, C.-J. Hsu, and J.-M. Lin," A flexible fixed-outline floorplanning methodology for mixed-size modules," in Design Automation Conference (ASP-DAC), 2013 18th Asia and South Pacific, pp. 435-440,2013
    [9] P. Falkenstern, Y. Xie, Y.-W. Chang ; Y. Wang,” Three-dimensional integrated circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis,”in 15th Asia and South Pacific Design Automation Conference (ASP-DAC), pp.169-174, 2010
    [10] V. Gerousis, “Physical Design Implementation for 3D IC: Methodology and Tools,” in Proceedings of International Symposium on Physical Design, pp. 57-57, 2010.
    [11] J. Hu, Y. Shin, N. Dhanwada, and R. Marculescu, “Architecting voltage islands in core-based system-on-a-chip designs,” in Proc. ISLPED, pp. 180-185, 2004.
    [12] W.-L. Hung, G. M. Link, Y. Xie, N. Vijaykrishnan, N. Dhanwada and J. Conner, “Temperature-aware voltage islands architecting in system-on-chip design,” in Proc. ICCD, pp. 689-696, 2005.
    [13] G. Karypis, R. Aggarwal, V. Kumar, and S. Shashi, “Multilevel Hypergraph Partitioning: Applications in VLSI Domain,” IEEE Transactions on Very Large Scale Integration Systems, vol. 7, no. 1, pp. 69-79, 1999.
    [14] M. Kuwano, and Y. Takashima, “Stable-LSE Based Analytical Placement with Overlap Removable Length,” in Proceedings of Synthesis And System Integration of Mixed Information Technologies, pp. 115-120, 2010.
    [15] D. H. Kim, R. O. Topaloglu, and S. K. Lim, “Block-level 3D IC Design with Through-silicon-via Planning,” in Proceedings of Asia and South Pacific Design Automation Conference, pp. 335-340, 2012.
    [16] S. Kirkpatrick, C. D. Gelatt, and M. Vecchi, ”Optimization by simulated annealing,” Science, vol. 220, no. 4598, pp.671-680, May 1983
    [17] G. Karypis, R. Aggarwal, V. Kumar, and S. Shekhar. Multilevel hypergraph partitioning: Application in VLSI domain. In Proc. DAC, pages 526–529, 1997.
    [18] J. Knechtel, E. F. Y. Young, and J. Lienig, “Planning Massive Interconnects in 3-D Chips,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, no. 11, pp. 1808-1821, 2015.
    [19] Z. Li, X. Hong, Q. Zhou, Y. Cai, J. Bian, H. H. Yang, V. Pitchumani, and C.-K. Cheng, “Hierarchical 3-D Floorplanning Algorithm for Wirelength Optimization,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 53, no. 12, pp. 2637-2646, 2006.
    [20] W.-P. Lee, H.-Y. Liu, and Y.-W. Chang, “Voltage island aware floorplanning for power and timing optimization,” in Proc. ICCAD, pp. 389-394,2006.
    [21] W.-P. Lee, H.-Y. Liu and Y.-W. Chang, “An ILP algorithm for post floorplanning voltage-island generation considering power-network planning,” in Proc. ICCAD, pp. 650-655, 2007.
    [22] C.-T. Lin, D.-M. Kwai, Y.-F. Chou, T.-S. Chen, and W.-C. Wu, “CAD Reference Flow for 3D Via-last Integrated Circuits,” in Proceedings of Asia and South Pacific Design Automation Conference, pp. 187-192, 2010.
    [23] J.-M. Lin and Z.-X. Hung. “SKB-tree: A fixed-outline driven representation for modern floorplanning problems.” IEEE Trans. on VLSI Systems, vol. 20(3), pp. 473-484, Mar. 2012.
    [24] C.-R. Li, W.-K. Mak, and T.-C. Wang, “Fast Fixed-Outline 3-D IC Floorplanning with TSV Co-Placement,” IEEE Transactions on Very Large Scale Integration Systems, vol. 21, no. 3, pp. 523-532, 2013.
    [25] J.-M. Lin, and J.-H. Wu, “F-FM: Fixed-outline Floorplanning Methodology for Mixed-size Modules Considering Voltage-island Constraint,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no. 11, pp. 1681-1692, 2014.
    [26] Y. Ma, X. Hong, S. Dong, and C. K. Cheng, “3D CBL: An Efficient Algorithm for General 3D Packing Problems,” in Proceedings of Midwest Symposium on Circuits and Systems, pp. 1079-1082, 2005.
    [27] W.-K. Mak and J.-W. Chen, “Voltage island generation under performance requirement for SoC designs,” in Proc. ASP-DAC, pp. 798-803, 2007.
    [28] Q. Ma and E. F. Y. Young, “Multivoltage floorplan design”, IEEE Trans.on CAD, vol. 29(4), pp. 607-617, Apr. 2010.
    [29] W. C. Naylor, R. Donelly, and L. Sha, “Non-linear Optimization System and Method for Wire length and Delay Optimization for an Automatic Electric Circuit Placer”, U.S. Patent 6 301 693, 2001.
    [30] S. Panth, K. Samadi, Y. Du, and S. K. Lim,” Tier-partitioning for power delivery vs cooling tradeoff in 3D VLSI for mobile applications,” in 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp.1-6, 2015.
    [31] Z. Qian and E. F. Y. Young, “Multi-voltage floorplan design with optimal voltage assignment,” in Proc. ISPD, pp. 13-18, 2009.
    [32] D. Sengupta and R. Saleh, “Application-driven floorplan-aware voltage island design,” in Proc. DAC, pp. 155-160, 2008.
    [33] H. Tamazaki, K. Sakanushi, S. Nakatake, and Y. Kajitani, “The 3D-Pack by Meta Data Structure and Pack Heuristics,” IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences, vol. E83-A, no. 1, pp. 639-645, 2000.
    [34] M.-C. Tsai, T.-C. Wang, and T. Hwang, “Through-silicon Via Planning in 3-D Floorplanning,” IEEE Transactions on Very Large Scale Integration Systems, vol. 19, no. 8, pp. 1448-1457, 2011.
    [35] H. Wu, I.-M. Liu, D. F. Wong, and Y. Wang, “Post-placement voltage island generation under performance requirement,” in Proc. ICCAD, pp.309-316, 2005.
    [36] H.Wu, D. F.Wong, and I.-M. Liu, “Timing-constrained and voltage-island-aware voltage assignment,” in Proc. DAC, pp. 429-432, 2006.
    [37] L. Xiao, S. Sinha, J. Xu, and E. F. Y. Young, “Fixed-outline Thermal-aware 3D Floorplanning,” in Proceedings of Asia and South Pacific Design Automation Conference, pp. 561-567, 2010.
    [38] P.-H. Yuh, C.-L. Yang, Y.-W. Chang, and H.-L. Chen, “Temporal Floorplanning Using 3D-subTCG,” in Proceedings of Asia and South Pacific Design Automation Conference, pp. 725-730, 2004.
    [39] J. Z. Yan, and C. Chu, “DeFer: Deferred Decision Making Enabled Fixed-Outline Floorplanning Algorithm,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 29, no. 3, pp. 367-381, 2010.
    [40] P. Zhou, Y. Ma, Z. Li, R. P. Dick, L. Shang, H. Zhou, X. Hong, and Q. Zhou, “3D-STAF: Scalable Temperature and Leakage Aware Floorplanning for Three-Dimensional Integrated Circuits,” in Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pp. 590-597, 2007.
    [41] W. Zhong, S. Chen, and T. Yoshimura, “Whitespace Insertion for Through-silicon Via Planning on 3-D SoCs,” in Proceedings of IEEE International Symposium on Circuits and Systems pp. 913-916, 2010.

    無法下載圖示 校內:2021-07-01公開
    校外:不公開
    電子論文尚未授權公開,紙本請查館藏目錄
    QR CODE