簡易檢索 / 詳目顯示

研究生: 蘇映先
Su, Yin-Hsien
論文名稱: 以中性束蝕刻、微波退火及無晶種金屬化技術整合應用至鰭式場效電晶體製程之研究
Integration of FinFETs with Advanced Fabrication Techniques: Neutral Beam Etching, Microwave Annealing and Seedless Metallization
指導教授: 李文熙
Lee, Wen-Hsi
共同指導教授: 寒川誠二
Seiji Samukawa
學位類別: 博士
Doctor
系所名稱: 電機資訊學院 - 電機工程學系
Department of Electrical Engineering
論文出版年: 2016
畢業學年度: 105
語文別: 英文
論文頁數: 136
中文關鍵詞: 中性束蝕刻微波退火無晶種金屬化製程鰭式場效電晶體
外文關鍵詞: neutral beam etching, microwave annealing, seedless metallization, FinFET
相關次數: 點閱:93下載:9
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 在邏輯元件的發展逼近物理極限的情形下,現有的半導體製程技術或架構已陸續面臨許多瓶頸。傳統製程技術的改良抑或是新製程架構的研發便成為延續摩爾定律的要務。有鑒於此,本論文旨在研究三項新興的半導體製程技術:中性束蝕刻、微波退火、及無晶種金屬化技術,並探討將它們整合應用至鰭式場效電晶體製程的可行性。
    中性束蝕刻技術為一種將電漿離子中性化之後再與基材反應進行蝕刻之技術,預期可以避免傳統反應性離子蝕刻中因電荷累積造成的離子軌道偏移和氧化層缺陷,或是電漿中的紫外線輻射造成的表面缺陷等等。在本論文的第一部分,我們使用中性束來蝕刻高介電系數氧化層/金屬閘極電容,並以低中性化的條件模擬傳統反應性離子蝕刻,做為本研究的對照組。在電性量測的結果中我們發現,用中性束蝕刻的電容,較對照組之電容展現出較低的介面狀態密度、氧化層缺陷密度與漏電流密度。為了進一步確認電容側壁損傷的情形,我們設計了較小面積的電容並進行蝕刻。結果顯示以中性束蝕刻的小面積電容其漏電流密度並無顯著增加,反之對照組的漏電流則有顯著的上升。以上這些結果說明中性束蝕刻技術有效避免了傳統離子反應性蝕刻技術當中位於氧化層/矽基板介面以及元件側壁的電漿損傷,進而提升了高介電系數氧化層/金屬閘極的電性表現。
    在本論文的第二部分,我們使用微波退火和傳統的快速熱退火分別對金氧半電容元件進行退火,並比較其電性表現的差異。微波退火因加熱的方式與傳統熱退火不同,將有機會達到較低的熱預算與較佳的熱均勻性。實驗結果顯示,經500˚C快速熱退火後的電容,雖然介面態密度及氧化層缺陷電荷密度皆有下降,但卻會因為金屬的擴散、介面氧化層增厚、還有氧化層結晶等問題而導致漏電流提升、崩潰電壓降低以及大量的平帶電壓偏移。在使用微波退火2700W的過程中量測到的試片溫度也為500˚C,但是此微波退火的試片得到了較低的有效氧化層厚度、缺陷密度、以及漏電流,同時沒有發現金屬擴散及介面氧化層增加的現象。這些結果顯示微波退火避免了傳統熱退火可能帶來的負面影響,在需要低熱預算的前段製程將是極具潛力的退火技術。
    在第三部份我們結合了第一二部分的結論,實現了一個整合中性束蝕刻與微波退火製作的場效鰭式電晶體。使用中性束蝕刻矽鰭的元件當中,在寬度為40 nm與閘極長度100 nm的尺寸之下量測到的次臨限擺幅為89 mV/decade、工作電流3.85×10^-7 A/μm開關電流比10^6、汲極偏壓導致通道能障降低為72 mV/V、有效載子移動率502 cm^2V^-1^s-1,這些結果皆優於模擬反應性離子蝕刻的對照組。穿透式電子顯微鏡圖片的結果則顯示在對照組的蝕刻條件下在矽鰭表面會產生一層損傷層,為造成電性低落的主要原因。在比較不同元件尺寸的電性結果我們也發現,在不同閘極長度的元件之下,對照組之臨界電壓下降之情形較中性束蝕刻之元件嚴重;工作電流與鰭寬則呈現線性關係,說明經微波退火的源/汲極區電阻值極小,不足以影響工作電流。這些結果皆可作為中性束蝕刻和微波退火應用至先進半導體製程的展示和參考。
    本論文的最後一部分討論了半導體製程的後段系統當中,使用合金材料作為無晶種阻障層的製程架構。我們利用過渡金屬的相互參雜來研究其抗腐蝕、抗擴散、濕潤、與直接電鍍的特性。實驗結果顯示在鈷鎢的合金系統當中,隨著鎢元素含量的上升,其失效溫度會上升,腐蝕電壓也會往惰性的方向偏移。但是在濕潤及電鍍的特性上,鎢含量上升卻使銅晶種的濕潤角度變小以及成核點數量降低。由以上結果可知,在合金阻障層當中其相對元素含量將會嚴重影響所需要的物理及電化學特性,而使我們必須在抗擴散、抗腐蝕以及濕潤與電鍍的能力上做權衡。在特定元素比例下,我們找到了單層的鈷鎢薄膜即可具有同等於現行氮化鉭薄膜之阻障能力、鉭薄膜的濕潤能力,且其銅電鍍的成核點密度符合次20奈米製程結點的要求,將有機會取代目前半導體金屬化技術當中擴散層/濕潤層/晶種層的製程架構。

    When the feature size of logic devices is scaling down toward 10 nm and beyond, current manufacturing techniques are facing a variety of challenges due to higher processing requirement. Improvement on current techniques or development of novel technology thus becomes important to keep the Moore’s law alive. In this thesis, three kinds of novel fabrication techniques are studied- neutral beam etching (NBE), microwave annealing (MWA) and seedless metallization-, and the feasibility of these techniques to be adopted in the FinFET processes are also discussed.
    In the first part of this study, NBE is applied to pattern high-k/metal gate MOS capacitors. Electrical characteristics of the capacitors by NBE and counterparts by a reactive-ion-etching-like (RIE-like) condition are studied, and a related plasma-induced damage model is also discussed. Results show that MOS capacitors etched by NBE demonstrated lower interface state density (Dit), oxide trap charges (Qot) and leakage current density. Furthermore, smaller capacitors etched by NBE did not lead to higher leakage current, indicating the sidewall damage was also suppressed. These results reveal that NBE is effective to prevent plasma-induced damages at the high-k/Si interface and on the sidewall and thus improve the electrical performance of the gate structure.
    In the second part of the thesis, MWA over a wide range of power were performed on MOS capacitors. Capacitors with rapid thermal annealing (RTA) at 500 °C are also fabricated for comparison at the same wafer temperature measured during MWA at 2700 W. For microwave annealed capacitors, key parameters such as equivalent oxide thickness (EOT), Dit, Qot, leakage current density and breakdown voltage were all improved with increasing MWA power. For the capacitor with RTA at 500 °C, diffusion of Al into TiN and growth of the interfacial oxide layer are detected, leading to the shift in flat-band voltage (VFB) and increase in EOT, respectively. Without trade-off relationship between the electrical characteristics, MWA demonstrates great potential for front-end fabrication.
    In the third part, FinFETs are realized by integrating NBE and MWA into the fabrication process. Sub-threshold swing of 89 mV/decade, Ion/Ioff of 10^6, DIBL of 72 mV/V and μeff of 502 cm^2V^-1s^-1 are obtained with Wfin/Lgate= 40/100 nm. These characteristics are superior by using neutral beam etching than by using RIE-like condition, and the Vt roll-off at reduced Lgate is also suppressed. A damaged layer at high-k/Si interface by RIE-like condition is observed in the TEM image, which can correspond to the degradation in electrical characteristics. Furthermore, the proportional scaling of Ion versus Wfin indicates negligible S/D resistance due to good activation by MWA. In short, functional FinFETs were fabricated by NBE and MWA for the first time, and these results pave ways for the techniques to be adopted in the advanced semiconductor processing.
    In the final part of this thesis, tungsten-based seedless barriers with various chemical compositions for back-end application are investigated. For CoW alloys, higher W concentration is found to be beneficial for suppressing corrosion of CoW substrates and also improving the thermal stability. However, on the surface of Cu/CoW films with high W concentration, Cu agglomeration and pin-holes were found after annealing, indicating poor adhesion between Cu and high-W-content CoW alloys. These results indicate a trade-off relationship between barrier properties based on W concentration. CoW alloys with moderate W concentration around 50% are found to be a direct platable material which also demonstrates desirable adhesion and anti-diffusion characteristics for the sub-20 nm metallization process.

    摘要 i Abstract iii 誌謝 v Content vi List of Figures viii List of Tables xii Chapter 1 Introduction 1 1-1 Background 1 1-1-1 Aggressive scaling of silicon-based CMOS devices 1 1-1-2 Gate first and gate first integration for high-k/metal gate 5 1-1-3 Multi-gate transistors 9 1-1-4 Overview of back end of the line 10 1-2 Issues in current manufacturing technologies 16 1-2-1 Challenges in plasma-based processing 16 1-2-2 Degradation of electrical performance by thermal processes 20 1-2-3 Difficulties for the barrier/liner/seed metallization scheme 23 1-3 Motivation 24 1-4 Organization of the thesis 25 Reference 26 Chapter 2 Techniques, concepts and theoretical considerations 32 2-1 The neutral beam etching technique 32 2-2 The microwave annealing technique 35 2-3 Seedless metallization 41 2-4 Principles of MOS capacitors 43 2-5 Principles of MOSFETs 48 Reference 52 Chapter 3 High-k/metal gate MOS capacitors patterned by neutral beam etching and their plasma-induced damage model 55 3-1 Introduction 56 3-2 Experimental details 58 3-2-1 Fabrication of MOS capacitors 58 3-2-2 Neutral beam etching setup 59 3-2-3 Characterization techniques 60 3-3 Results and discussion 61 3-3-1 Etching results of HfO2 and TiN thin films 61 3-3-2 Electrical performance of MOS capacitors 65 3-4 Summary 75 Reference 76 Chapter 4 Effect of microwave annealing on electrical characteristics of high-k/metal gate MOS capacitors 78 4-1 Introduction 79 4-2 Experimental details 80 4-2-1 Fabrication of MOS capacitors and annealing conditions 80 4-2-2 Characterization techniques 82 4-3 Results and discussion 82 4-3-1 Capacitance-voltage characteristics 82 4-3-2 Current-voltage characteristics 90 4-4 Summary 94 Reference 95 Chapter 5 Electrical performance of FinFETs fabricated by a combination of neutral beam etching and microwave annealing 100 5-1 Introduction 101 5-2 Experimental details 101 5-2-1 Process flow of the Si FinFETs 101 5-2-2 Characterization techniques 103 5-3 Results and discussion 103 5-4 Summary 115 Chapter 6 Evaluation of tungsten-based seedless barrier for sub-20 nm metallization 116 6-1 Introduction 117 6-2 Experimental details 118 6-2-1 Deposition of metal films 118 6-2-2 Electroplating and electrochemical measurement 119 6-2-3 Characterization techniques 119 6-3 Results and discussion 120 6-4 Summary 131 Reference 132 Chapter 7 Conclusion and recommendation 135

    Chapter 1
    [1] G. E. Moore, "Progress in digital integrated electronics [Technical literaiture, Copyright 1975 IEEE. Reprinted, with permission. Technical Digest. International Electron Devices Meeting, IEEE, 1975, pp. 11-13.]," IEEE Solid-State Circuits Society Newsletter, vol. 20, pp. 36-37, 2006.
    [2] Y. Taur and T. H. Ning, Fundamentals of Modern VLSI Devices: Cambridge University Press, 2009.
    [3] D. R. H, G. F. H, Y. U. H. N, R. V. Leo, B. E, and L. A. R, "Design of ion-implanted MOSFET's with very small physical dimensions," IEEE Solid-State Circuits Society Newsletter, vol. 12, pp. 38-50, 2007.
    [4] T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, et al., "A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors," in Electron Devices Meeting, 2003. IEDM '03 Technical Digest. IEEE International, 2003, pp. 11.6.1-11.6.3.
    [5] C.-H. Jan, P. Bai, J. Choi, G. Curello, S. Jacobs, J. Jeong, et al., "A 65nm ultra low power logic platform technology using uni-axial strained silicon transistors," in IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest., 2005.
    [6] C.-H. Jan, P. Bai, S. Biswas, M. Buehler, Z.-P. Chen, G. Curello, et al., "A 45nm low power system-on-chip technology with dual gate (logic and I/O) high-k/metal gate strained silicon transistors," in 2008 IEEE International Electron Devices Meeting, 2008, pp. 1-4.
    [7] P. Packan, S. Akbar, M. Armstrong, D. Bergstrom, M. Brazier, H. Deshpande, et al., "High Performance 32nm Logic Technology Featuring 2 nd Generation High-k+ Metal Gate Transistors," in 2009 IEEE International Electron Devices Meeting (IEDM), 2009, pp. 1-4.
    [8] C. Auth, C. Allen, A. Blattner, D. Bergstrom, M. Brazier, M. Bost, et al., "A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors," in VLSI Technology (VLSIT), 2012 Symposium on, 2012, pp. 131-132.
    [9] S. Natarajan, M. Agostinelli, S. Akbar, M. Bost, A. Bowonder, V. Chikarmane, et al., "A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 um2 SRAM cell size," in 2014 IEEE International Electron Devices Meeting, 2014, pp. 3.7.1-3.7.3.
    [10] C. C. Hobbs, L. R. Fonseca, A. Knizhnik, V. Dhandapani, S. B. Samavedam, W. J. Taylor, et al., "Fermi-level pinning at the polysilicon/metal-oxide interface-Part II," IEEE Transactions on Electron Devices, vol. 51, pp. 978-984, 2004.
    [11] Y. Taur, G. J. Hu, R. H. Dennard, L. M. Terman, C.-Y. Ting, and K. E. Petrillo, "A self-aligned 1-µm-channel CMOS technology with retrograde n-well and thin epitaxy," IEEE Transactions on Electron Devices, vol. 32, pp. 203-209, 1985.
    [12] M. C. Lemme, J. Efavi, T. Mollenhauer, M. Schmidt, H. Gottlob, T. Wahlbrink, et al., "Nanoscale TiN metal gate technology for CMOS integration," Microelectronic engineering, vol. 83, pp. 1551-1554, 2006.
    [13] C. Cabral, C. Lavoie, A. Ozcan, R. Amos, V. Narayanan, E. Gusev, et al., "Evaluation of thermal stability for CMOS gate metal materials," Journal of The Electrochemical Society, vol. 151, pp. F283-F287, 2004.
    [14] J. Schaeffer, C. Capasso, L. Fonseca, S. Samavedam, D. Gilmer, Y. Liang, et al., "Challenges for the integration of metal gate electrodes," in International Electron Devices Meeting, 2004, pp. 287-290.
    [15] K. Choi, H.-C. Wen, H. Alshareef, R. Harris, P. Lysaght, H. Luan, et al., "The effect of metal thickness, overlayer and high-k surface treatment on the effective work function of metal electrode," in Proceedings of 35th European Solid-State Device Research Conference, 2005. ESSDERC 2005., 2005, pp. 101-104.
    [16] R. Singanamalla, H. Yu, G. Pourtois, I. Ferain, K. Anil, S. Kubicek, et al., "On the impact of TiN film thickness variations on the effective work function of poly-Si/TiN/SiO 2 and poly-Si/TiN/HfSiON gate stacks," IEEE electron device letters, vol. 27, pp. 332-334, 2006.
    [17] T. Ando, "Ultimate scaling of high-κ gate dielectrics: Higher-κ or interfacial layer scavenging?," Materials, vol. 5, pp. 478-500, 2012.
    [18] H. Takahashi, H. Minakata, Y. Morisaki, S. Xiao, M. Nakabayashi, K. Nishigaya, et al., "Ti-capping technique as a breakthrough for achieving low threshold voltage, high mobility, and high reliability of pMOSFET with metal gate and high-k dielectrics technologies," in 2009 IEEE International Electron Devices Meeting (IEDM), 2009, pp. 1-4.
    [19] A. Veloso, Y. Higuchi, S. Chew, K. Devriendt, L.-Å. Ragnarsson, F. Sebaai, et al., "Process control & integration options of RMG technology for aggressively scaled devices," in VLSI Technology (VLSIT), 2012 Symposium on, 2012, pp. 33-34.
    [20] A. Veloso, L.-Å. Ragnarsson, M. Cho, K. Devriendt, K. Kellens, F. Sebaai, et al., "Gate-last vs. gate-first technology for aggressively scaled EOT logic/RF CMOS," in VLSI Technology (VLSIT), 2011 Symposium on, 2011, pp. 34-35.
    [21] J. Schaeffer, D. Gilmer, C. Capasso, S. Kalpat, B. Taylor, M. Raymond, et al., "Application of group electronegativity concepts to the effective work functions of metal gate electrodes on high-κ gate oxides," Microelectronic engineering, vol. 84, pp. 2196-2200, 2007.
    [22] S. Suhard, F. Sebaai, A. Pacco, A. Veloso, L. Carbonell, M. Claes, et al., "Development of a wet silicon removal process for replacement metal gate and sacrificial fin," ECS Transactions, vol. 41, pp. 51-56, 2011.
    [23] M. Kadoshima, T. Matsuki, N. Mise, M. Sato, M. Hayashi, T. Aminaka, et al., "Improved FET characteristics by laminate design optimization of metal gates-Guidelines for optimizing metal gate stack structure," in 2008 Symposium on VLSI Technology, 2008, pp. 48-49.
    [24] M. Heyns, A. Alian, G. Brammertz, M. Caymax, G. Eneman, J. Franco, et al., "Challenges for introducing Ge and III/V devices into CMOS technologies," in 2012 IEEE International Reliability Physics Symposium (IRPS), 2012.
    [25] G. Wang, E. Rosseel, R. Loo, P. Favia, H. Bender, M. Caymax, et al., "High quality Ge epitaxial layers in narrow channels on Si (001) substrates," Applied Physics Letters, vol. 96, p. 111903, 2010.
    [26] D. Hisamoto, T. Kaga, Y. Kawamoto, and E. Takeda, "A fully depleted lean-channel transistor (DELTA)-a novel vertical ultra thin SOI MOSFET," in Electron Devices Meeting, 1989. IEDM'89. Technical Digest., International, 1989, pp. 833-836.
    [27] S. P. Murarka, "Metallization Theory and Practice for VLSI and ULSI," Butterworth-Heinemann(UK), 1992, p. 270, 1992.
    [28] T. Chao, "Introduction to semiconductor manufacturing technology," 2001.
    [29] M. T. Bohr, "Interconnect scaling-the real limiter to high performance ULSI," in International Electron Devices Meeting, 1995, pp. 241-244.
    [30] S.-P. Jeng, R. H. Havemann, and M.-C. Chang, "Process integration and manufacturasility issues for high performance multilevel interconnect," in MRS Proceedings, 1994, p. 25.
    [31] T. Takewaki, T. Ohmi, and T. Nitta, "A novel self-aligned surface-silicide passivation technology for reliability enhancement in copper interconnects," in VLSI Technology, 1995. Digest of Technical Papers. 1995 Symposium on, 1995, pp. 31-32.
    [32] J. M. Steigerwald, S. P. Murarka, and R. J. Gutmann, Chemical mechanical planarization of microelectronic materials: John Wiley & Sons, 2008.
    [33] B. Howard and C. Steinbrüchel, "Reactive ion etching of copper in SiCl4‐based plasmas," Applied physics letters, vol. 59, pp. 914-916, 1991.
    [34] C. W. Kaanta, S. G. Bombardier, W. J. Cote, W. R. Hill, G. Kerszykowski, H. S. Landis, et al., "Dual damascene: a ULSI wiring technology," in VLSI Multilevel Interconnection Conference, 1991, Proceedings., Eighth International IEEE, 1991, pp. 144-152.
    [35] D. Edelstein, J. Heidenreich, R. Goldblatt, W. Cote, C. Uzoh, N. Lustig, et al., "Full copper wiring in a sub-0.25/spl mu/m CMOS ULSI technology," in Electron Devices Meeting, 1997. IEDM'97. Technical Digest., International, 1997, pp. 773-776.
    [36] C. Simpson, T. Sparks, P. Tsui, R. Venkatraman, D. Watts, E. Weitzman, et al., "A high performance 1.8 V, 0.20 μm CMOS technology with copper metallization," in Technological Digest, IEEE International Electron Devices Meeting, 1997.
    [37] K. Yu, T. H. M. Oie, F. Amano, S. Consiglio, C. Wajda, K. Maekawa, et al., "Integration of ALD barrier and CVD Ru liner for void free PVD Cu reflow process on sub-10nm node technologies," in IEEE International Interconnect Technology Conference, 2014, pp. 117-120.
    [38] T. Nozawa, T. Kinoshita, T. Nishizuka, A. Narai, T. Inoue, and A. Nakaue, "The electron charging effects of plasma on notch profile defects," Japanese journal of applied physics, vol. 34, p. 2107, 1995.
    [39] T. Kinoshita, M. Hane, and J. P. McVittie, "Notching as an example of charging in uniform high density plasmas," Journal of Vacuum Science & Technology B, vol. 14, pp. 560-565, 1996.
    [40] O. Hiroki, O. Tatsuo, T. Mutumi, and N. Keisuke, "Simulation of Ion Trajectories near Submicron-Patterned Surface Including Effects of Local Charging and Ion Drift Velocity toward Wafer," Japanese Journal of Applied Physics, vol. 33, p. 4276, 1994.
    [41] T. Okamoto, T. Ide, A. Sasaki, K. Azuma, and Y. Nakata, "Irradiation damage in SiO2/Si system induced by photons and/or ions in photo-oxidation and plasma-oxidation," Japanese journal of applied physics, vol. 43, p. 8002, 2004.
    [42] J. Woodworth, M. Blain, R. Jarecki, T. Hamilton, and B. Aragon, "Absolute intensities of the vacuum ultraviolet spectra in a metal-etch plasma processing discharge," Journal of Vacuum Science & Technology A, vol. 17, pp. 3209-3217, 1999.
    [43] K. Yonekura, K. Goto, M. Matsuura, N. Fujiwara, and K. Tsujimoto, "Low-damage damascene patterning using porous inorganic low-dielectric-constant materials," Japanese journal of applied physics, vol. 44, p. 2976, 2005.
    [44] K. Hashimoto, "New phenomena of charge damage in plasma etching: Heavy damage only through dense-line antenna," Japanese journal of applied physics, vol. 32, p. 6109, 1993.
    [45] T. Kitajima, Y. Takeo, Z. L. Petrović, and T. Makabe, "Functional separation of biasing and sustaining voltages in two-frequency capacitively coupled plasma," Applied Physics Letters, vol. 77, pp. 489-491, 2000.
    [46] M. Okigawa, Y. Ishikawa, Y. Ichihashi, and S. Samukawa, "Ultraviolet-induced damage in fluorocarbon plasma and its reduction by pulse-time-modulated plasma in charge coupled device image sensor wafer processes," Journal of Vacuum Science & Technology B, vol. 22, pp. 2818-2822, 2004.
    [47] T. Yunogami, T. Mizutani, K. Suzuki, and S. Nishimatsu, "Radiation damage in SiO2/Si induced by VUV photons," Japanese Journal of Applied Physics, vol. 28, p. 2172, 1989.
    [48] K. Ishikawa, M. Okigawa, Y. Ishikawa, S. Samukawa, and S. Yamasaki, "In vacuo measurements of dangling bonds created during Ar-diluted fluorocarbon plasma etching of silicon dioxide films," Applied Physics Letters, vol. 86, p. 4104, 2005.
    [49] H. Ohtake, N. Inoue, T. Ozaki, S. Samukawa, B. Soda, and K. Inukai, "Highly selective low-damage processes using advanced neutral beams for porous low-k films," Journal of Vacuum Science & Technology B, vol. 23, pp. 210-216, 2005.
    [50] T. Tatsumi, S. Fukuda, and S. Kadomura, "Radiation damage of SiO2 surface induced by vacuum ultraviolet photons of high-density plasma," Japanese journal of applied physics, vol. 33, p. 2175, 1994.
    [51] V. N. Bliznetsov, L. K. Bera, H. Y. Soo, N. Balasubramanian, R. Kumar, G.-Q. Lo, et al., "Plasma etching for sub-20-nm TaN metal gates on high-k dielectrics," IEEE transactions on semiconductor manufacturing, vol. 20, pp. 143-149, 2007.
    [52] G. Oehrlein, R. Tromp, Y. Lee, and E. Petrillo, "Study of silicon contamination and near‐surface damage caused by CF4/H2 reactive ion etching," Applied physics letters, vol. 45, pp. 420-422, 1984.
    [53] C. Petti, J. McVittie, and J. Plummer, "Characterization of surface mobility on the sidewalls of dry-etched trenches," in Electron Devices Meeting, 1988. IEDM'88. Technical Digest., International, 1988, pp. 104-107.
    [54] R. Chao, K. K. Kohli, Y. Zhang, A. Madan, G. R. Muthinti, A. J. Hong, et al., "Multitechnique metrology methods for evaluating pitch walking in 14 nm and beyond FinFETs," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 13, pp. 041411-041411, 2014.
    [55] H. Kai, M. Xueli, Y. Hong, and W. Wenwu, "Modulation of the effective work function of a TiN metal gate for NMOS requisition with Al incorporation," Journal of Semiconductors, vol. 34, p. 076003, 2013.
    [56] J. Takano, K. Makihara, and T. Ohmi, "Chemical oxide passivation for very thin oxide formation," in MRS Proceedings, 1993, p. 381.
    [57] K. Onishi, C. S. Kang, R. Choi, H.-J. Cho, S. Gopalan, R. E. Nieh, et al., "Improvement of surface carrier mobility of HfO 2 MOSFETs by high-temperature forming gas annealing," IEEE Transactions on Electron Devices, vol. 50, pp. 384-390, 2003.
    [58] R. E. Nieh, C. S. Kang, H.-J. Cho, K. Onishi, R. Choi, S. Krishnan, et al., "Electrical characterization and material evaluation of zirconium oxynitride gate dielectric in TaN-gated NMOSFETs with high-temperature forming gas annealing," IEEE Transactions on Electron Devices, vol. 50, pp. 333-340, 2003.
    [59] S. Zafar, A. Callegari, E. Gusev, and M. V. Fischetti, "Charge trapping in high k gate dielectric stacks," in Electron Devices Meeting, 2002. IEDM'02. International, 2002, pp. 517-520.
    [60] H. Kim, "Atomic layer deposition of metal and nitride thin films: Current research efforts and applications for semiconductor device processing," Journal of Vacuum Science & Technology B, vol. 21, pp. 2231-2261, 2003.
    Chapter 2
    [1] D. J. Economou, "Fast (tens to hundreds of eV) neutral beams for materials processing," Journal of Physics D: Applied Physics, vol. 41, p. 024001, 2008.
    [2] H. Kuwano and F. Shimokawa, "Silicon dioxide fine patterning by reactive fast atom beam etching," Journal of Vacuum Science & Technology B, vol. 6, pp. 1565-1569, 1988.
    [3] C. A. Nichols and D. M. Manos, "Simulation of a surface‐reflection neutral stream source," Journal of Applied Physics, vol. 80, pp. 2643-2649, 1996.
    [4] T. Yunogami, K. e. Yokogawa, and T. Mizutani, "Development of neutral‐beam‐assisted etcher," Journal of Vacuum Science & Technology A, vol. 13, pp. 952-958, 1995.
    [5] S. Samukawa, K. Sakamoto, and K. Ichiki, "Generating high-efficiency neutral beams by using negative ions in an inductively coupled plasma source," Journal of Vacuum Science & Technology A, vol. 20, pp. 1566-1573, 2002.
    [6] T. Mizutani and S. Nishimatsu, "Sputtering yield and radiation damage by neutral beam bombardment," Journal of Vacuum Science & Technology A, vol. 6, pp. 1417-1420, 1988.
    [7] D. Lee, B. Park, and G. Yeom, "Effects of axial magnetic field on neutral beam etching by low-angle forward-reflected neutral beam method," Japanese journal of applied physics, vol. 44, p. L63, 2004.
    [8] E. Thostenson and T.-W. Chou, "Microwave processing: fundamentals and applications," Composites Part A: Applied Science and Manufacturing, vol. 30, pp. 1055-1071, 1999.
    [9] A. Metaxas, Foundations of electroheat: a unified approach: John Wiley & Sons Inc, 1996.
    [10] D. Stuerga, "Microwave-material interactions and dielectric properties, key ingredients for mastery of chemical microwave processes," Microwaves in Organic Synthesis (Loupy A, ed). 2nd ed. Weinheim, Germany: Wiley-VCH Verlag Gmbh & Co. KgaA, pp. 1-61, 2006.
    [11] H. Fröhlich, "Theory of dielectrics," 1949.
    [12] G. G. Raju, Dielectrics in electric fields vol. 19: CRC press, 2003.
    [13] P. Lidström, J. Tierney, B. Wathey, and J. Westman, "Microwave assisted organic synthesis—a review," Tetrahedron, vol. 57, pp. 9225-9283, 2001.
    [14] I. Bilecka and M. Niederberger, "Microwave chemistry for inorganic nanomaterials synthesis," Nanoscale, vol. 2, pp. 1358-1374, 2010.
    [15] H. Y. Huang, C. Hsieh, S. Jeng, H. Tao, M. Cao, and Y. Mii, "A new enhancement layer to improve copper interconnect performance," in 2010 IEEE International Interconnect Technology Conference, 2010, pp. 1-3.
    [16] T. Nogami, M. He, X. Zhang, K. Tanwar, R. Patlolla, J. Kelly, et al., "CVD-Co/Cu (Mn) integration and reliability for 10 nm node," in 2013 IEEE International Interconnect Technology Conference-IITC, 2013, pp. 1-3.
    [17] H.-S. Lu, S.-F. Ding, G.-P. Ru, Y.-L. Jiang, and X.-P. Qu, "Investigation of Co/TaN bilayer as Cu diffusion barrier," in Solid-State and Integrated Circuit Technology (ICSICT), 2010 10th IEEE International Conference on, 2010, pp. 1045-1047.
    [18] S. M. Sze and K. K. Ng, Physics of semiconductor devices: John wiley & sons, 2006.
    Chapter 3
    [1] K. Eriguchi and K. Ono, "Impacts of plasma process-induced damage on MOSFET parameter variability and reliability," Microelectronics Reliability, vol. 55, pp. 1464-1470, 8// 2015.
    [2] E. Koji and O. Kouichi, "Quantitative and comparative characterizations of plasma process-induced damage in advanced metal-oxide-semiconductor devices," Journal of Physics D: Applied Physics, vol. 41, p. 024002, 2008.
    [3] A. Martin, "Review on the reliability characterization of plasma-induced damage," Journal of Vacuum Science & Technology B, vol. 27, pp. 426-434, 2009.
    [4] Y. Norikuni, O. Masaharu, M. Osamu, and Y. Shizuka, "Surface Damage on Si Substrates Caused by Reactive Sputter Etching," Japanese Journal of Applied Physics, vol. 20, p. 893, 1981.
    [5] G. S. Oehrlein, "Dry etching damage of silicon: A review," Materials Science and Engineering: B, vol. 4, pp. 441-450, 1989/10/01 1989.
    [6] O. O. Awadelkarim, S. J. Fonash, P. I. Mikulan, and Y. D. Chan, "Plasma‐charging damage to gate SiO2 and SiO2/Si interfaces in submicron n‐channel transistors: Latent defects and passivation/depassivation of defects by hydrogen," Journal of Applied Physics, vol. 79, pp. 517-525, 1996.
    [7] C. T. Gabriel and J. P. McVittie, "Effect of plasma overetch of polysilicon on gate oxide damage," Journal of Vacuum Science & Technology A, vol. 13, pp. 900-904, 1995.
    [8] T. S. Jang, M. H. Ha, K. D. Yoo, and B. K. Kang, "Plasma process induced damages on n-MOSFET with plasma oxidized and nitrided gate dielectrics," Microelectronic Engineering, vol. 75, pp. 443-452, 11// 2004.
    [9] M. Kim, J. Lee, D. Kim, and G. Min, "Novel degradation model of MOSFET thin gate oxide induced by VUV photons during high density plasma oxide deposition," Surface and Coatings Technology, vol. 228, Supplement 1, pp. S511-S515, 8/15/ 2013.
    [10] T. Pei-Jer, C. Yi-Yuan, and C.-L. Kuei-Shu, "Plasma charging damage on MOS devices with gate insulator of high-dielectric constant material," IEEE Electron Device Letters, vol. 22, pp. 527-529, 2001.
    [11] W.-T. Weng, Y.-J. Lee, H.-C. Lin, and T.-Y. Huang, "A comparison of plasma-induced damage on the reliability between high-k/metal-gate and SiO2/poly-gate complementary metal oxide semiconductor technology," Solid-State Electronics, vol. 54, pp. 368-377, 4// 2010.
    [12] M. Kyung Seok, K. Chang Yong, Y. Ook Sang, P. Byoung Jae, K. Sung Woo, C. D. Young, et al., "Plasma induced damage of aggressively scaled gate dielectric (EOT ≪ 1.0nm) in metal gate/high-k dielectric CMOSFETs," in 2008 IEEE International Reliability Physics Symposium, 2008, pp. 723-724.
    [13] C. Shang-Jr, C. Steve Shao-Shiun, and L. Horng-Chih, "Charge Pumping Profiling Technique for the Evaluation of Plasma-Charging-Enhanced Hot-Carrier Effect in Short-N-Channel Metal-Oxide-Semiconductor Field-Effect Transistors," Japanese Journal of Applied Physics, vol. 41, p. 4493, 2002.
    [14] A. Le Gouil, O. Joubert, G. Cunge, T. Chevolleau, L. Vallier, B. Chenevier, et al., "Poly-Si∕TiN∕HfO2 gate stack etching in high-density plasmas," Journal of Vacuum Science & Technology B, vol. 25, pp. 767-778, 2007.
    [15] V. N. Bliznetsov, L. K. Bera, H. Y. Soo, N. Balasubramanian, R. Kumar, G. Q. Lo, et al., "Plasma Etching for Sub-20-nm TaN Metal Gates on High-k Dielectrics," IEEE Transactions on Semiconductor Manufacturing, vol. 20, pp. 143-149, 2007.
    [16] M. M. Hussain, S. C. Song, J. Barnett, C. Y. Kang, G. Gebara, B. Sassman, et al., "Plasma-Induced Damage in High-k/Metal Gate Stack Dry Etch," IEEE Electron Device Letters, vol. 27, pp. 972-974, 2006.
    [17] E. H. Nicollian and A. Goetzberger, "The Si-SiO2 Interface — Electrical Properties as Determined by the Metal-Insulator-Silicon Conductance Technique," Bell System Technical Journal, vol. 46, pp. 1055-1133, 1967.
    Chapter 4
    [1] K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, et al., "A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging," in 2007 IEEE International Electron Devices Meeting, 2007, pp. 247-250.
    [2] M. Schmidt, M. C. Lemme, H. Kurz, T. Witters, T. Schram, K. Cherkaoui, et al., "Impact of H2/N2 annealing on interface defect densities in Si(100)/SiO2/HfO2/TiN gate stacks," Microelectronic Engineering, vol. 80, pp. 70-73, 2005.
    [3] D. Han, J. Kang, C. Lin, and R. Han, "Reliability characteristics of high-K gate dielectrics HfO2 in metal-oxide semiconductor capacitors," Microelectronic Engineering, vol. 66, pp. 643-647, 2003.
    [4] M.-J. Jeng, H.-S. Lin, and J.-G. Hwu, "Rapid thermal post-metallization annealing effect on thin gate oxides," Applied Surface Science, vol. 92, pp. 208-211, 1996/02/02 1996.
    [5] J. Jeong, H. Lee, D. Kang, and S. Kim, "Gate Engineering to Improve Effective Resistance of 28-nm High-Metal Gate CMOS Devices," IEEE Transactions on Electron Devices, vol. 63, pp. 259-264, 2016.
    [6] R. Ritzenthaler, T. Schram, A. Spessot, C. Caillat, M. Cho, E. Simoen, et al., "Diffusion and Gate Replacement: A New Gate-First High-/Metal Gate CMOS Integration Scheme Suppressing Gate Height Asymmetry," IEEE Transactions on Electron Devices, vol. 63, pp. 265-271, 2016.
    [7] J. Robertson and R. M. Wallace, "High-K materials and metal gates for CMOS applications," Materials Science and Engineering: R: Reports, vol. 88, pp. 1-41, 2015.
    [8] Y.-L. Yang, W. Zhang, C.-Y. Cheng, Y.-P. Huang, P.-T. Chen, C.-W. Hsu, et al., "Reliability Improvement of 28-nm High-/Metal Gate-Last MOSFET Using Appropriate Oxygen Annealing," IEEE Electron Device Letters, vol. 33, pp. 1183-1185, 2012.
    [9] K. Han, X. Ma, H. Yang, and W. Wang, "Modulation of the effective work function of a TiN metal gate for NMOS requisition with Al incorporation," Journal of Semiconductors, vol. 34, p. 076003, 2013.
    [10] K. Han, X. Ma, J. Xiang, H. Yang, and W. Wang, "Effect of low temperature annealing on the electrical properties of an MOS capacitor with a HfO2dielectric and a TiN metal gate," Journal of Semiconductors, vol. 34, p. 114007, 2013.
    [11] Y. Sugimoto, M. Kajiwara, K. Yamamoto, Y. Suehiro, D. Wang, and H. Nakashima, "Dependences of effective work functions of TaN on HfO2 and SiO2 on post-metallization anneal," Thin Solid Films, vol. 517, pp. 204-206, 2008.
    [12] Y. Sugimoto, M. Kajiwara, K. Yamamoto, Y. Suehiro, D. Wang, and H. Nakashima, "Effective work function modulation of TaN metal gate on HfO[sub 2] after postmetallization annealing," Applied Physics Letters, vol. 91, p. 112105, 2007.
    [13] C.-C. Cheng, C.-H. Chien, G.-L. Luo, C.-H. Yang, M.-L. Kuo, J.-H. Lin, et al., "Ultrathin Si capping layer suppresses charge trapping in HfOxNy∕Ge metal-insulator-semiconductor capacitors," Applied Physics Letters, vol. 90, p. 012905, 2007.
    [14] M. S. Joo, B. J. Cho, N. Balasubramanian, and D.-L. Kwong, "Thermal instability of effective work function in metal/high-κ stack and its material dependence," IEEE Electron Device Letters, vol. 25, pp. 716-718, 2004.
    [15] H. Wong, J. Zhang, S. Dong, K. Kakushima, and H. Iwai, "Thermal annealing, interface reaction, and lanthanum-based sub-nanometer EOT gate dielectrics," Vacuum, vol. 118, pp. 2-7, 2015.
    [16] Y. H. Wong and K. Y. Cheong, "Electrical Characteristics of Oxidized/Nitrided Zr Thin Film on Si," Journal of The Electrochemical Society, vol. 158, pp. H1270-H1278, January 1, 2011 2011.
    [17] J. Zhang, H. Wong, K. Kakushima, and H. Iwai, "XPS study on the effects of thermal annealing on CeO2/La2O3 stacked gate dielectrics," Thin Solid Films, vol. 600, pp. 30-35, 2016.
    [18] J. W. Zhang, G. He, H. S. Chen, J. Gao, X. F. Chen, P. Jin, et al., "Modulation of charge trapping and current-conduction mechanism of TiO2-doped HfO2 gate dielectrics based MOS capacitors by annealing temperature," Journal of Alloys and Compounds, vol. 647, pp. 1054-1060, 2015.
    [19] J. Gao, G. He, J. W. Zhang, B. Deng, and Y. M. Liu, "Annealing temperature modulated interfacial chemistry and electrical characteristics of sputtering-derived HfO2/Si gate stack," Journal of Alloys and Compounds, vol. 647, pp. 322-330, 2015.
    [20] M. Yun, M.-S. Kim, Y.-D. Ko, T.-H. Moon, J.-H. Hong, J.-M. Myoung, et al., "Effects of post-metallization annealing of high-K dielectric thin films grown by MOMBE," Microelectronic Engineering, vol. 77, pp. 48-54, 2005.
    [21] O. S. Yoo, J. Oh, C. Y. Kang, B. H. Lee, I. S. Han, W.-H. Choi, et al., "Effect of Si interlayer thickness and post-metallization annealing on Ge MOS capacitor on Ge-on-Si substrate," Materials Science and Engineering: B, vol. 154-155, pp. 102-105, 2008.
    [22] M.-H. Cho, Y. S. Roh, C. N. Whang, K. Jeong, S. W. Nahm, D.-H. Ko, et al., "Thermal stability and structural characteristics of HfO2 films on Si (100) grown by atomic-layer deposition," Applied Physics Letters, vol. 81, pp. 472-474, 2002.
    [23] W. K. Chim, T. H. Ng, B. H. Koh, W. K. Choi, J. X. Zheng, C. H. Tung, et al., "Interfacial and bulk properties of zirconium dioxide as a gate dielectric in metal–insulator–semiconductor structures and current transport mechanisms," Journal of Applied Physics, vol. 93, pp. 4788-4793, 2003.
    [24] F.-J. Hou, P.-J. Sung, F.-K. Hsueh, C.-T. Wu, Y.-J. Lee, M.-N. Chang, et al., "32-nm Multigate Si-nTFET With Microwave-Annealed Abrupt Junction," IEEE Transactions on Electron Devices, vol. 63, pp. 1808-1813, 2016.
    [25] C.-C. Hsu, Y.-H. Tsai, C.-W. Chen, J.-H. Li, Y.-H. Lin, Y.-J. Lee, et al., "High-Performance Schottky Contact Quantum-Well Germanium Channel pMOSFET With Low Thermal Budget Process," IEEE Electron Device Letters, vol. 37, pp. 8-11, 2016.
    [26] T. Ming Han, W. Chi-Ting, and L. Wen-His, "Activation of boron and recrystallization in Ge preamorphization implant structure of ultra shallow junctions by microwave annealing," Japanese Journal of Applied Physics, vol. 53, p. 041302, 2014.
    [27] Y.-J. Lee, B.-A. Tsai, C.-H. Lai, Z.-Y. Chen, F.-K. Hsueh, P.-J. Sung, et al., "Low-temperature microwave annealing for MOSFETs with high-k/metal gate stacks," IEEE Electron Device Letters, vol. 34, pp. 1286-1288, 2013.
    [28] Y.-L. Lu, F.-K. Hsueh, K.-C. Huang, T.-Y. Cheng, J. M. Kowalski, J. E. Kowalski, et al., "Nanoscale p-MOS thin-film transistor with TiN gate electrode fabricated by low-temperature microwave dopant activation," IEEE Electron Device Letters, vol. 31, pp. 437-439, 2010.
    [29] T.-L. Shih and W.-H. Lee, "High Dopant Activation and Diffusion Suppression of Phosphorus in Ge Crystal with High-Temperature Implantation By Two-Step Microwave Annealing," ECS Transactions, vol. 72, pp. 219-225, May 4, 2016 2016.
    [30] Y. J. Lee, F. K. Hsueh, S. C. Huang, J. M. Kowalski, J. E. Kowalski, A. T. Y. Cheng, et al., "A Low-Temperature Microwave Anneal Process for Boron-Doped Ultrathin Ge Epilayer on Si Substrate," IEEE Electron Device Letters, vol. 30, pp. 123-125, 2009.
    [31] L.-F. Teng, P.-T. Liu, Y.-J. Lo, and Y.-J. Lee, "Effects of microwave annealing on electrical enhancement of amorphous oxide semiconductor thin film transistor," Applied Physics Letters, vol. 101, p. 132901, 2012.
    [32] A. Satta, E. Simoen, R. Duffy, T. Janssens, T. Clarysse, A. Benedetti, et al., "Diffusion, activation, and regrowth behavior of high dose P implants in Ge," Applied Physics Letters, vol. 88, p. 162118, 2006.
    [33] P. T. Chen, Y. Sun, E. Kim, P. C. McIntyre, W. Tsai, M. Garner, et al., "HfO2 gate dielectric on (NH4)2S passivated (100) GaAs grown by atomic layer deposition," Journal of Applied Physics, vol. 103, p. 034106, 2008.
    [34] X.-R. Wang, Y.-L. Jiang, Q. Xie, C. Detavernier, G.-P. Ru, X.-P. Qu, et al., "Annealing effect on the metal gate effective work function modulation for the Al/TiN/SiO2/p-Si structure," Microelectronic Engineering, vol. 88, pp. 573-577, 2011.
    [35] E. H. Nicollian and A. Goetzberger, "The Si-SiO2 Interface — Electrical Properties as Determined by the Metal-Insulator-Silicon Conductance Technique," Bell System Technical Journal, vol. 46, pp. 1055-1133, 1967.
    [36] P.-C. Jiang and J. S. Chen, "Effects of Post-Metal Annealing on Electrical Characteristics and Thermal Stability of W[sub 2]N/Ta[sub 2]O[sub 5]/Si MOS Capacitors," Journal of The Electrochemical Society, vol. 151, p. G751, 2004.
    [37] Y. Seo, S. Lee, I. An, C. Song, and H. Jeong, "Conduction mechanism of leakage current due to the traps in ZrO2thin film," Semiconductor Science and Technology, vol. 24, p. 115016, 2009.
    [38] R. Perera, A. Ikeda, R. Hattori, and Y. Kuroki, "Effects of post annealing on removal of defect states in silicon oxynitride films grown by oxidation of silicon substrates nitrided in inductively coupled nitrogen plasma," Thin Solid Films, vol. 423, pp. 212-217, 1/15/ 2003.
    [39] F.-C. Chiu, "Interface characterization and carrier transportation in metal/HfO2/silicon structure," Journal of Applied Physics, vol. 100, p. 114102, 2006.
    [40] K. Xiong, J. Robertson, M. C. Gibson, and S. J. Clark, "Defect energy levels in HfO2 high-dielectric-constant gate oxide," Applied Physics Letters, vol. 87, p. 183505, 2005.
    Chapter 6
    [1] C.-C. Chang, J. S. Chen, and W.-S. Hsu, "Failure Mechanism of Amorphous and Crystalline Ta-N Films in the Cu / Ta ­  N  / Ta / SiO2 Structure," Journal of The Electrochemical Society, vol. 151, pp. G746-G750, November 1, 2004 2004.
    [2] C.-C. Hung, W.-H. Lee, Y.-S. Wang, S.-C. Chang, and Y.-L. Wang, "Investigation of Galvanic Corrosion Between TaN x Barriers and Copper Seed by Electrochemical Impedance Spectroscopy," Electrochemical and Solid-State Letters, vol. 10, pp. D100-D103, October 1, 2007 2007.
    [3] C.-C. Hung, Y.-S. Wang, W.-H. Lee, S.-C. Chang, and Y.-L. Wang, "Galvanic Corrosion Between TaN x Barriers and Copper Seed," Electrochemical and Solid-State Letters, vol. 10, pp. H127-H130, April 1, 2007 2007.
    [4] J. Lei, H. Ping, H. Guowei, Z. Xiangfu, and L. Chiaping, "Copper Thermal Diffusion in TaN Film on Si Substrate," Japanese Journal of Applied Physics, vol. 41, p. 6525, 2002.
    [5] X.-P. Qu, J.-J. Tan, M. Zhou, T. Chen, Q. Xie, G.-P. Ru, et al., "Improved barrier properties of ultrathin Ru film with TaN interlayer for copper metallization," Applied Physics Letters, vol. 88, p. 151912, 2006.
    [6] S. M. Rossnagel and H. Kim, "Diffusion barrier properties of very thin TaN with high nitrogen concentration," Journal of Vacuum Science & Technology B, vol. 21, pp. 2550-2554, 2003.
    [7] Y.-S. Wang, W.-H. Lee, Y.-L. Wang, C.-C. Hung, and S.-C. Chang, "The electrical property of plasma-treated Ta/TaNx diffusion barrier," Journal of Physics and Chemistry of Solids, vol. 69, pp. 601-604, 2// 2008.
    [8] C. Yu-Lung, C. Bi-Shiou, and W. Wen-Fa, "Effect of the Tantalum Barrier Layer on the Electromigration and Stress Migration Resistance of Physical-Vapor-Deposited Copper Interconnect," Japanese Journal of Applied Physics, vol. 41, p. 3057, 2002.
    [9] W.-Z. Xu, J.-B. Xu, H.-S. Lu, J.-X. Wang, Z.-J. Hu, and X.-P. Qu, "Direct Copper Plating on Ultra-Thin Sputtered Cobalt Film in an Alkaline Bath," Journal of The Electrochemical Society, vol. 160, pp. D3075-D3080, January 1, 2013 2013.
    [10] M. Wislicenus, R. Liske, L. Gerlich, B. Vasilev, and A. Preusse, "Cobalt advanced barrier metallization: A resistivity composition analysis," Microelectronic Engineering, vol. 137, pp. 11-15, 4/2/ 2015.
    [11] T.-C. Kuo, Y.-H. Su, W.-H. Lee, W. H. Liao, Y.-S. Wang, C.-C. Hung, et al., "A study on the plating and wetting ability of ruthenium-tungsten multi-layers for advanced Cu metallization," Microelectronic Engineering, vol. 162, pp. 27-33, 8/16/ 2016.
    [12] T. P. Moffat, M. Walker, P. J. Chen, J. E. Bonevich, W. F. Egelhoff, L. Richter, et al., "Electrodeposition of Cu on Ru Barrier Layers for Damascene Processing," Journal of The Electrochemical Society, vol. 153, pp. C37-C50, January 1, 2006 2006.
    [13] S. Armini, Z. El-Mekki, K. Vandersmissen, H. Philipsen, S. Rodet, M. Honore, et al., "Void-Free Filling of HAR TSVs Using a Wet Alkaline Cu Seed on CVD Co as a Replacement for PVD Cu Seed," Journal of The Electrochemical Society, vol. 158, pp. H160-H165, February 1, 2011 2011.
    [14] M. He, X. Zhang, T. Nogami, X. Lin, J. Kelly, H. Kim, et al., "Mechanism of Co Liner as Enhancement Layer for Cu Interconnect Gap-Fill," Journal of The Electrochemical Society, vol. 160, pp. D3040-D3044, January 1, 2013 2013.
    [15] H. S. Lu, S. F. Ding, G. P. Ru, Y. L. Jiang, and X. P. Qu, "Investigation of Co/TaN bilayer as Cu diffusion barrier," in Solid-State and Integrated Circuit Technology (ICSICT), 2010 10th IEEE International Conference on, 2010, pp. 1045-1047.
    [16] T. Nogami, M. He, X. Zhang, K. Tanwar, R. Patlolla, J. Kelly, et al., "CVD-Co/Cu(Mn) integration and reliability for 10 nm node," in 2013 IEEE International Interconnect Technology Conference - IITC, 2013, pp. 1-3.
    [17] T. Nogami, J. Maniscalco, A. Madan, P. Flaitz, P. DeHaven, C. Parks, et al., "CVD Co and its application to Cu damascene interconnections," in 2010 IEEE International Interconnect Technology Conference, 2010, pp. 1-3.
    [18] H.-S. Lu, J.-X. Wang, X. Zeng, F. Chen, X.-M. Zhang, W.-J. Zhang, et al., "The Effect of H2O2 and 2-MT on the Chemical Mechanical Polishing of Cobalt Adhesion Layer in Acid Slurry," Electrochemical and Solid-State Letters, vol. 15, pp. H97-H100, January 1, 2012 2012.
    [19] S. Hideharu, S. Kaoru, M. Takeshi, and S. Yukihiro, "Atomic Layer Deposited Co(W) Film as a Single-Layered Barrier/Liner for Next-Generation Cu-Interconnects," Japanese Journal of Applied Physics, vol. 51, p. 05EB02, 2012.
    [20] H. Shimizu, K. Sakoda, and Y. Shimogaki, "CVD of cobalt–tungsten alloy film as a novel copper diffusion barrier," Microelectronic Engineering, vol. 106, pp. 91-95, 6// 2013.
    [21] H. Shimizu, Y. Suzuki, T. Nogami, N. Tajima, T. Momose, Y. Kobayashi, et al., "CVD and ALD Co(W) Films Using Amidinato Precursors as a Single-Layered Barrier/Liner for Next-Generation Cu-Interconnects," ECS Journal of Solid State Science and Technology, vol. 2, pp. P311-P315, January 1, 2013 2013.
    [22] S. Armini, "Cu Electrodeposition on Resistive Substrates in Alkaline Chemistry: Effect of Current Density and Wafer RPM," Journal of The Electrochemical Society, vol. 158, pp. D390-D394, June 1, 2011 2011.
    [23] K.-S. Park and S. Kim, "Seedless Copper Electrodeposition onto Tungsten Diffusion Barrier," Journal of The Electrochemical Society, vol. 157, pp. D609-D613, December 1, 2010 2010.
    [24] S. Kim and D. J. Duquette, "Nucleation Characteristics of Directly Electrodeposited Copper on TiN," Journal of The Electrochemical Society, vol. 153, pp. C673-C676, September 1, 2006 2006.
    [25] H. Jung and A. Alfantazi, "An electrochemical impedance spectroscopy and polarization study of nanocrystalline Co and Co–P alloy in 0.1 M H2SO4 solution," Electrochimica Acta, vol. 51, pp. 1806-1814, 1/20/ 2006.
    [26] T. Laurila, K. Zeng, J. K. Kivilahti, J. Molarius, and I. Suni, "Failure mechanism of Ta diffusion barrier between Cu and Si," Journal of Applied Physics, vol. 88, pp. 3377-3384, 2000.

    下載圖示 校內:2021-11-01公開
    校外:2021-11-01公開
    QR CODE