簡易檢索 / 詳目顯示

研究生: 林晉弘
Lin, Chin-Hung
論文名稱: 使用傳輸閘防止負偏壓溫度不穩定性老化同時降低漏電流
TG-based Technique for NBTI Degradation and Leakage Optimization
指導教授: 林英超
Lin, Ing-Chao
學位類別: 碩士
Master
系所名稱: 電機資訊學院 - 資訊工程學系
Department of Computer Science and Information Engineering
論文出版年: 2011
畢業學年度: 99
語文別: 英文
論文頁數: 59
中文關鍵詞: 超大型積體電路負偏壓溫度不穩定性可靠度效能衰退老化;節省漏電流傳輸閘靜態時序分析
外文關鍵詞: VLSI, NBTI, Reliability, Performance Degradation, Aging, Leakage Reduction, Transmission Gate, Static Timing Analysis
相關次數: 點閱:117下載:2
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 電路可靠度一直都是超大型積體電路重要的觀念。其中負偏壓溫度不穩定性 (Negative Bias Temperature Instability NBTI) 隨著製程的進度,漸漸成為可靠度考量的主要因素之一。NBTI可能會受到運作環境的影響,例如Signal Probability、溫度以及電壓,使得電路在運作一段時間後,會降低PMOS運作速度,導致整體電路的效能衰退或稱為老化,在分析電路可靠度時,是一個極大的挑戰。另外一項電路設計的主要考量是節省漏電流 (Leakage power) 的消耗電量。在此論文中,我們提出了一個完整的分析以及最佳化流程,結合了NBTI的靜態時序分析(NBTI-Aware Static Timing Analysis) 可以有效的指出必須改良的電路位置以及改良的先後順序,有利於使用各種技巧來降低NBTI老化的現象。因此我們基於使用傳輸閘 (Transmission gate) 的概念,提出了一套新的演算法設計,用來降低NBTI老化的現象,同時也降低漏電流的消耗。這個方法與Gate Replacement的方法相比,有極高的彈性,可以適用於各種電路分析當中。從實驗數據可以得知,相較於Gate Replacement的方法,我們提出的新方法在節省相同的漏電流下,平均可以多改善2.44倍的NBTI老化現象,而最高可以比Gate Replacement多改善到20倍的效果。整體來說,此方法伴隨著增加19%面積的代價,平均可以節省19.39%的漏電流以及改善36.56%的NBTI老化現象。

    Circuit reliability has been one of the major concepts in VLSI circuits and system designs. As technology advances, NBTI (Negative Bias Temperature Instability) has gradually become one of the main factors for estimating reliability. NBTI is affected by the operating environment such as signal probability, temperature and supply voltage, and this, after operating for a period of time, will reduce the switching speed of PMOS transistors and cause temporal performance degradation. In analysis circuit reliability, this is indeed a great challenge. Another main concern for circuit designs is to reduce leakage consumption. In this thesis, we put forward a complete analysis, process, and combine NBTI-Aware Static Timing Analysis, which can efficiently point out the circuit location to be improved and the order that needs improving. And this is beneficial in reducing the aging phenomena of NBTI with various techniques. Therefore, based on the concept of using transmission gate, we propose a novel transmission gate-based technique, which has higher flexibility and efficiency, to optimize NBTI-induced degradation and leakage. Compared to the gate replacement technique, our simulation results show that our proposed technique has up to 20X and 2.44X on average improvement on NBTI-induced degradation with comparable leakage power reduction. With 19% area penalty, combining our technique with the gate replacement can reduce 19.39% of the total leakage power and 36.56% of NBTI-induced circuit degradation.

    摘要 i Abstract ii Acknowledgment iii Table of Contents iv List of Tables vi List of Figures vii Chapter 1 Introduction 1 1.1 Background 1 1.2 Our Contribution 11 1.3 Paper Organization 12 Chapter 2 Related Work 13 2.1 Input Vector Control 13 2.2 Internal Node Control 16 2.3 Pin Reordering and Logic Restructure 18 2.4 Gate Replacement 20 2.5 Node Criticality Computation 22 Chapter 3 Overall Methodology 24 3.1 Overview of NBTI/Leakage Co-simulation Framework 24 3.2 NBTI-induced Vth Degradation and Timing Estimation 30 3.3 Path Identification, Leakage Analysis, and CGC 34 3.4 TG-based Technique for NBTI/Leakage Mitigation 41 3.5 Transmission Gate Insertion Algorithm 45 Chapter 4 Implementation and Experimental Results 50 4.1 Implementation 50 4.2 Experimental Results 50 Chapter 5 Conclusion 56 Reference 57

    [1] N. Kimizuka, et al., "The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on MOSFET scaling," Symposium on VLSI Technology, Digest of Technical Papers. pp. 73-74, 1999.

    [2] S. Zafar, "The Negative Bias Temperature Instability in MOS Devices," in IEEE International, Integrated Reliability Workshop Final Report, pp. 217-217, 2006.

    [3] Y. Wang, et al., "Gate replacement techniques for simultaneous leakage and aging optimization," in Design, Automation & Test in Europe Conference & Exhibition, pp. 328-333, 2009.

    [4] Y. Wang, et al., "Temperature-aware NBTI modeling and the impact of input vector control on performance degradation," in Design, Automation & Test in Europe Conference & Exhibition, pp. 1-6, 2007.

    [5] S. Bhardwaj, et al., "Predictive Modeling of the NBTI Effect for Reliable Design," in IEEE trans. Custom Integrated Circuits Conference, pp. 189-192, 2006.

    [6] (November 15, 2008). Predictive Technology Model (PTM) (V2.1 ed.). Available: http://ptm.asu.edu/

    [7] S. Mahapatra, et al., "Mechanism of negative bias temperature instability in CMOS devices: degradation, recovery and impact of nitrogen," in IEEE International, Electron Devices Meeting, pp. 105-108, 2004.

    [8] S. V. Kumar, et al., "NBTI-Aware Synthesis of Digital Circuits," in ACM/IEEE, Design Automation Conference, pp. 370-375, 2007.

    [9] Y. Ye, et al., "A new technique for standby leakage reduction in high-performance circuits," Symposium on VLSI Circuits, Digest of Technical Papers. pp. 40-41, 1998.

    [10] J. P. Halter and F. N. Najm, "A gate-level leakage power reduction method for ultra-low-power CMOS circuits," Proceedings of the IEEE Custom Integrated Circuits Conference, pp. 475-478, 1997.

    [11] A. Abdollahi, et al., "Leakage current reduction in CMOS VLSI circuits by input vector control," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 12, pp. 140-154, 2004.

    [12] L. Yuan and G. Qu, "Enhanced leakage reduction technique by gate replacement," Proceedings on ACM/IEEE, Design Automation Conference, pp. 47-50, 2005.

    [13] D. R. Bild, et al., "Minimization of NBTI performance degradation using internal node control," in Design, Automation & Test in Europe Conference & Exhibition, pp. 148-153, 2009.

    [14] Y. Wang, et al., "Leakage Power and Circuit Aging Cooptimization by Gate Replacement Techniques," IEEE Transactions on, Very Large Scale Integration (VLSI) Systems, vol. 19, pp. 615-628, 2011.

    [15] R. Vattikonda, et al., "Modeling and minimization of PMOS NBTI effect for robust nanometer design," in ACM/IEEE, Design Automation Conference, pp. 1047-1052, 2006.

    [16] B. C. Paul, et al., "Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits," in Design, Automation and Test in Europe, pp. 1-6, 2006.

    [17] D. Lee, et al., "Analysis and minimization techniques for total leakage considering gate oxide leakage," in ACM/IEEE, Design Automation Conference, pp. 175-180, 2003.

    [18] Y. Wang, et al., "On the efficacy of input Vector Control to mitigate NBTI effects and leakage power," International Symposium on Quality of Electronic Design(ISQED), pp. 19-26, 2009.

    [19] K.-C. Wu and D. Marculescu, "Joint logic restructuring and pin reordering against NBTI-induced performance degradation," in Design, Automation & Test in Europe Conference & Exhibition, pp. 75-80, 2009.

    [20] L. Cheng, et al., "A fast simultaneous input vector generation and gate replacement algorithm for leakage power reduction," in ACM/IEEE, Design Automation Conference, pp. 117-120, 2006.

    [21] W. Wang, et al., "Node Criticality Computation for Circuit Timing Analysis and Optimization under NBTI Effect," International Symposium on Quality Electronic Design(ISQED), pp. 763-768, 2008.

    [22] W. Wang, et al., "An efficient method to identify critical gates under circuit aging," IEEE/ACM International Conference on Computer-Aided Design, pp. 735-740, 2007.

    下載圖示 校內:2012-08-25公開
    校外:2012-08-25公開
    QR CODE