簡易檢索 / 詳目顯示

研究生: 黃雅琪
Huang, Ya-Chi
論文名稱: 基於TCAD模擬評估之鰭式電晶體與橫向環繞式奈米片電晶體用於未來互補式場效應電晶體元件
TCAD-Based Assessment of FinFETs and Lateral Gate-All-Around Nanosheet FETs for Future CMOS Devices
指導教授: 江孟學
Chiang, Meng-Hsueh
王水進
Wang, Shui-Jinn
學位類別: 博士
Doctor
系所名稱: 電機資訊學院 - 微電子工程研究所
Institute of Microelectronics
論文出版年: 2022
畢業學年度: 110
語文別: 英文
論文頁數: 95
中文關鍵詞: 半導體製程元件模擬短通道效應鰭式電晶體環繞式閘極奈米線電晶體奈米片電晶體靜態隨機存取記憶體側向擴散金屬氧化物半導體高壓電晶體崩潰電壓
外文關鍵詞: TCAD simulation, short-channel effects, FinFET, GAA NWFET, NSFET, bulk inversion, SRAM, LDMOS, HV transistor, breakdown voltage
相關次數: 點閱:137下載:25
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 本論文提出鰭式電晶體、環繞式閘極奈米線電晶體與奈米片電晶體三種元件進行模擬與電性分析,並提出適合SoC應用具高密度(HD)、低電壓(LV)與高性能(HP)之多閘極元件靜態隨機存取記憶體(6T-SRAM)之面積設計,評估6T-SRAM高密度面積為0.008 μm2。
    首先本研究將針對一具成本優勢的實用型鰭式電晶體,以半導體元件模擬軟體Sentaurus TCAD提出模擬設計分析,於元件結構參數與尺寸參數遵循國際半導體技術指標於2028年之預測下,其在5奈米技術節點之電特性亦將與垂直堆疊奈米線電晶體進行比較,探討實用型鰭式電晶體於採用無摻雜(undoped)通道、傳統SiO2介電層、閘極和汲極/源極間的間隔層下通道存有underlap、以及不考慮晶格應變等情況下,於實際製作、減少成本與展現最佳特性上之優勢。
    本論文第二部分將聚焦於奈米片電晶體,針對不同通道寬度進行電特性之模擬分析。模擬通道長度於12奈米、技術節點於G40M16時,較寬奈米片的電晶體可以實現更大的驅動電流,然製程困難度較高;較窄奈米片可實現微縮元件之優勢,然將面臨驅動電流較小之問題。基於bulk inversion效應影響,傳統以每單位有效通道長度為基準衡量電晶體性能已不適用,而沿著奈米片寬度及其末端的bulk inversion,使環繞式閘極電晶體短通道效應控制的優勢受到挑戰。此部分之研究亦針對在相同平面面積(footprint)與製程元件結構整體高度下,比較分析鰭式電晶體與奈米片電晶體之電流、寄生電阻與電容、本質速度、fin pitch與footprint的關係,於成本和技術之間取得折衷,使FinFET持續成為延續CMOS技術一條更安全的途徑。
    本論文第三部分提出一種結合鰭片與平面通道的橫向二次擴散金氧半場效應電晶體,利用鰭式通道高度的優勢增加電流特性以及藉由平面通道減少很窄的fin寬度產生的串聯電阻。提升此一電晶體於I/O應用所需較高的崩潰電壓、較低的導通電阻與轉出較大電流等考量,於元件結構設計上,基於高壓元件的耐壓能力取決於閘極氧化層與漂移區寬度。本研究提出在漂移區與汲極間增加一可調式閘極區,利用增加可調式閘極電壓至2 V,提高電子之堆積提升元件之特性,與不加入可調式閘極區之導通電阻相比可下降約5倍,並分析驅動電流與可調式閘極電壓之關係,〖BV〗^2⁄R_(on_sp) 可提高約8倍,此設計可展現較佳高壓元件特性之元件結構。
    本論文亦進行多通道FinFET之實際研製,最後將針對模擬分析結果與實際製程所得FinFET之特性進行比較分析。

    The advanced technologies such as FinFETs, gate-all-around (GAA) NWFETs, and GAA NSFETs have emerged and established to resolve the short-channel effects (SCEs) issues. This work is focused on the simulations and analyses of multi-gate devices, and 6T-SRAM in terms of high density (HD), low voltage (LV), and high-performance (HP) operations for SoC applications. The HD SRAM cell area is 0.008 μm2.
    The performance of two-stacked GAAFET versus pragmatic FinFET architectures at the 5 nm technology node for HP and low operation power (LOP) applications and corresponding densities are investigated using the Sentaurus TCAD simulator. Simulation work conducted in this study takes into account the following assumptions: undoped fin UTB/channel, no high-κ dielectric, no lattice strain, and with gate-to-source/drain (G-S/D) underlap. All structural parameters of the devices under study are defined in reference to the International Roadmap for Devices and Systems (~5 nm node of the predecessor ITRS) at the G40M16 node for 2028.
    Furthermore, the device technology is advanced to the wide channel as GAA NSFET. The advantages of NSFET include a flexible sheet width and balance performance and drivability. The disadvantages include a complex fabrication process and severe parasitic effect. The conventional evaluation scheme to judge the performance of the transistor ‘per effective channel width’ is inappropriate due to pervasive bulk inversion. Bulk inversion, along the width of the nanosheet and at its ends, confuses the dependence of current on sheet/channel width and detracts from the assumed added benefit of SCE control in the GAA devices. FinFETs have simpler processing and discrete fins that are comparable (if not superior) in terms of the overall performance and device density. The electrostatics of lateral GAA nanosheet transistors and FinFETs of the same footprint are evaluated. It is worth noting that the trade-off between cost and technology must be ensured to extend the device scaling.
    Finally, HV transistors are used in I/O units for SoC applications. This study proposes an LDMOS that includes a combination of fins and planar channels with modulation gates. The introduction of fin channels helps enhance current drivability, and planar channels can help reduce large series resistance due to narrow fin widths. BV and R_on have a competitive relationship. The design of the modulation gate bias to 2 V can increase the electron accumulation obviously. Comparison between the hybrid FETs with and without the modulation gate, the R_on decreases about 5× and 〖BV〗^2⁄R_(on_sp) enhance about 8×. The variation in V_MOD versus 〖BV〗^2⁄R_(on_sp) for the design window is also clarified.
    In this study, the design and fabrication of multi-channel FinFETs were also studied, and the simulation results were compared with the experimental FinFETs characteristics.

    摘要 I Abstract III 致謝 V Contents VI Table Captions VIII Figure Captions IX Chapter 1 Introduction 1 1-1 Background and Transistor Scaling 1 1-2 Motivation 2 1-3 Overview of the Dissertation 7 Chapter 2 Challenges to the Evolution of Transistor Architectures 9 2-1 Architecture of Multi-gate MOSFETs 9 2-1-1 FinFET 9 2-1-2 Nanowire MOSFETs 13 2-1-3 Nanosheet FETs 14 2-1-4 Vertical GAA MOSFETs 15 2-2 TCAD Simulation Results 16 2-3 Six-Transistor Static Random Access Memory 20 Chapter 3 GAAFET Versus Pragmatic FinFET at the 5 nm Si-Based CMOS Technology Node 25 3-1 Challenges Associated with GAAFET and PFFET 25 3-2 Device Designs/Domains at the 5 nm Technology Node 26 3-3 Comparison of the Integration Densities 30 3-4 Simulation Results - Performance Comparison 31 3-5 Summary of GAAFET Versus PFFET 37 Chapter 4 TCAD-Based Assessment of the Lateral GAA Nanosheet Transistor for Future CMOS 38 4-1 FinFET and NSFET Beyond 3 nm 39 4-2 FinFET and NSFET Devices Simulations 40 4-3 Single-Sheet Device Results 42 4-4 Stacked-NSFET Results with Comparison to FinFET 47 4-5 Summarize the Lateral NSFET Issues and Lofty Expectations FinFET 52 Chapter 5 Simulation and Fabrication of Hybrid Fins and Planar Lateral Double-Diffused MOSFET 53 5-1 Hybrid Fin/Planar Type Channel Lateral Double-Diffused MOSFET 53 5-2 Simulated Structure of Hybrid FET with Modulation Gate 56 5-3 Process Flow and Fabrication Results of Hybrid FET with Modulation Gate 62 5-4 Measurement Results of Hybrid FET with Modulation Gate 66 5-5 Advantages of Hybrid FET with Modulation Gate 70 Chapter 6 Conclusions and Future Study 71 6-1 Conclusions 71 6-2 Scope of Future Research 73 References 75 Appendix 90 Publication List of Ya-Chi Huang 95

    1. Computer History Museum. [Online]. Available: https://www.computerhistory.org/siliconengine/moores-law-predicts-the-future-of-integrated-circuits/
    2. G. E. Moore, “Cramming more components onto integrated circuits,” Electronics, vol. 38, Apr. 1965.
    3. D. Hisamoto, W.-C. Lee, J. Kedzierski, H. Takeuchi, K. Asano, C. Kuo, E. Anderson, T.-J. King, J. Bokor, and C. Hu, “FinFET—A self-aligned double-gate MOSFET scalable to 20 nm,” IEEE Transactions on Electron Devices, vol. 47, no. 12, pp. 2320 – 2325, Dec. 2000.
    4. W.-H. Kwon, “Technology scaling in the EUV era and beyond,” IEEE International Electron Devices Meeting short course, Dec. 2019.
    5. Wikipedia. [Online]. Available: https://en.wikipedia.org/wiki/Transistor_count
    6. D. Perlmutter, “Sustainability in silicon and systems development,” IEEE International Solid-State Circuits Conference, pp. 31 – 35, Feb. 2012.
    7. ITRS 2.0 Publication: 5_2015 ITRS 2.0_More Moore.pdf, p. 14. [Online]. Available: http://www.itrs2.net/.
    8. M. Liu, “Unleashing the future of innovation,” IEEE International Solid-State Circuits Conference, Feb. 2021.
    9. C.-H. Jan, U. Bhattacharya, R. Brain, S.- J. Choi, G. Curello, G. Gupta, W. Hafez, M. Jang, M. Kang, K. Komeyli, T. Leo, N. Nidhi, L. Pan, J. Park, K. Phoa, A. Rahman, C. Staus, H. Tashiro, C. Tsai, P. Vandervoorn, L. Yang, J.-Y. Yeh, and P. Bai, “A 22nm SoC platform technology featuring 3-D tri-gate and high-k/metal gate, optimized for ultra low power, high performance and high density SoC applications,” IEEE International Electron Devices Meeting, pp. 3.1.1 – 3.1.4, Dec. 2012.
    10. M. K. Gupta, P. Weckx, P. Schuddinck, D. Jang, B. Chehab, S. Cosemans, J. Ryckaert, and W. Dehaene, “A comprehensive study of nanosheet and forksheet SRAM for beyond N5 node,” IEEE Transactions on Electron Devices, vol. 68, no. 8, pp. 3819 – 3825, Aug. 2021.
    11. EDN Taiwan. [Online]. Available: https://www.edntaiwan.com/20210115nt61-5nm-and-3nm-process-10-2021/
    12. N. Loubet, T. Hook, P. Montanini, C.-W. Yeung, S. Kanakasabapathy, M. Guillom, T. Yamashita, J. Zhang, X. Miao, J. Wang, A. Young, R. Chao, M. Kang, Z. Liu, S. Fan, B. Hamieh, S. Sieg, Y. Mignot, W. Xu, S.-C. Seo, J. Yoo, S. Mochizuki, M. Sankarapandian, O. Kwon, A. Carr, A. Greene, Y. Park, J. Frougier, R. Galatage, R. Bao, J. Shearer, R. Conti, H. Song, D. Lee, D. Kong, Y. Xu, A. Arceo, Z. Bi, P. Xu, R. Muthinti, J. Li, R. Wong, D. Brown, P. Oldiges, R. Robison, J. Arnold, N. Felix, S. Skordas, J. Gaudiello, T. Standaert, H. Jagannathan, D. Corliss, M.-H. Na, A. Knorr, T. Wu, D. Gupta, S. Lian, R. Divakaruni, T. Gow, C. Labelle, S. Lee, V. Paruchuri, H. Bu, and M. Khare, “Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET,” IEEE Symposium on VLSI Technology, pp. T230 – T231, Jun. 2017.
    13. G. Bae, D.-I. Bae, M. Kang, S. M. Hwang, S. S. Kim, B. Seo, T. Y. Kwon, T. J. Lee, C. Moon, Y. M. Choi, K. Oikawa, S. Masuoka, K. Y. Chun, S. H. Park, H. J. Shin, J. C. Kim, K. K. Bhuwalka, D. H. Kim, W. J. Kim, J. Yoo, H. Y. Jeon, M. S. Yang, S.-J. Chung, D. Kim, B. H. Ham, K. J. Park, W. D. Kim, S. H. Park, G. Song, Y. H. Kim, M. S. Kang, K. H. Hwang, C.-H. Park, J.-H. Lee, D.-W. Kim, S-M. Jung, and H. K. Kang, “3nm GAA technology featuring multi-bridge-channel FET for low power and high performance applications,” IEEE International Electron Devices Meeting, pp. 28.7.1 – 28.7.4, Dec. 2018.
    14. P. Weckx, J. Ryckaert, E. Dentoni Litta, D. Yakimets, P. Matagne, P. Schuddinck, D. Jang, B. Chehab, R. Baert, M. Gupta, Y. Oniki, L.-A. Ragnarsson, N. Horiguchi, A. Spessot and D. Verkest, “Novel forksheet device architecture as ultimate logic scaling device towards 2nm,” IEEE International Electron Devices Meeting, Dec. 2019.
    15. S. Subramanian, M. Hosseini, T. Chiarella, S. Sarkar, P. Schuddinck, B.T. Chan, D. Radisic, G. Mannaert, A. Hikavyy, E. Rosseel, F. Sebaai, A. Peter, T. Hopf, P. Morin, S. Wang, K. Devriendt, D. Batuk, G. T. Martinez, A. Veloso, E. Dentoni Litta, S. Baudot, Y. K. Siew, X. Zhou, B. Briggs, E. Capogreco, J. Hung, R. Koret, A. Spessot, J. Ryckaert, S. Demuynck, N. Horiguchi, and J. Boemmels, “First monolithic integration of 3D complementary FET (CFET) on 300mm wafers,” IEEE Symposium on VLSI Technology, Jun. 2020.
    16. Y.-C. Huang, M.-H. Chiang, and S.-J. Wang, “Series resistance and channel doping impacts on 6-T SRAM with stacked nanowire MOSFETs,” International Electron Devices and Materials Symposium, pp. 1 – 2, Nov. 2015.
    17. Sentaurus Device User Manual, Ver. L-2016.12, Mountain View, CA: Synopsys, Inc., Dec. 2016.
    18. Y.-C. Huang, M.-H. Chiang, S.-J. Wang, and J. G. Fossum, “GAAFET versus pragmatic FinFET at the 5nm Si-based CMOS technology node,” IEEE Journal of the Electron Devices Society, vol. 5, pp. 164 – 169, Mar. 2017.
    19. Y.-C. Huang, M.-H. Chiang, S.-J. Wang, and J. G. Fossum, “TCAD-based assessment of the lateral GAA nanosheet transistor for future CMOS,” IEEE Transactions on Electron Devices, vol. 68, pp. 6586 – 6591, Nov. 2021.
    20. A. Wei, J. Singh, G. Bouche, M. Zaleski, R. Augur, B. Senapati, J. Stephens, I. Lin, M. Rashed, L. Yuan, J. Kye, Y. Woo, J. Zeng, H. Levinson, A. Wehbi, P. Hang, V. Ton-That, V. Kanagala, D. Yu, D. Blackwell, A. Beece, S. Gao, S. Thangaraju, R. Alapati, and S. Samavedam, “Challenges of analog and I/O scaling in 10nm SoC technology and beyond,” IEEE International Electron Devices Meeting, pp. 462 – 465, Dec. 2014.
    21. C.-H. Jan, F. Al-amoody, H.-Y. Chang, T. Chang, Y.-W. Chen, N. Dias, W. Hafez, D. Ingerly, M. Jang, E. Karl, S. K.-Y. Shi, K. Komeyli, H. Kilambi, A. Kumar, K. Byon, C.-G. Lee, J. Lee, T. Leo, P.-C. Liu, N. Nidhi, R. Olac-vaw, C. Petersburg, K. Phoa, C. Prasad, C. Quincy, R. Ramaswamy, T. Rana, L. Rockford, A. Subramaniam, C. Tsai, P. Vandervoorn, L. Yang, A. Zainuddin, and P. Bai, “A 14 nm SoC platform technology featuring 2nd generation tri-gate transistors, 70 nm gate pitch, 52 nm metal pitch, and 0.0499 um2 SRAM cells, optimized for low power, high performance and high density SoC products,” IEEE Symposium on VLSI Technology, Jun. 2015.
    22. Y.-T. Wu, F. Ding, D. Connelly, P. Zheng, M.-H. Chiang, J. F. Chen, and T.-J. King Liu, “Simulation-based study of hybrid fin/planar LDMOS design for FinFET-based system-on-chip technology,” IEEE Transactions on Electron Devices, vol. 64, no. 10, pp. 4193 – 4199, Oct. 2017.
    23. H.T. Huang, Y.C. Liu, Y.T. Hou, R. C-J Chen, C.H. Lee, Y.S. Chao, P.F. Hsu, C.L. Chen, W.H. Guo, W.C. Yang, T.H. Perng, J.J. Shen, Y. Yasuda, K. Goto, C.C. Chen, K.T. Huang, H. Chuang, C.H. Diaz, and M.S. Liang, “45nm high-κ/metal-gate CMOS technology for GPU/NPU applications with highest PFET performance,” IEEE International Electron Devices Meeting, pp. 285 – 288, Dec. 2007.
    24. M. Bohr, “14 nm process technology: opening new horizons,” Intel Developer Forum, 2014. [Online]. Available: https://www.intel.com.tw/content/www/tw/zh/architecture-and-technology/bohr-14nm-idf-2014-brief.html
    25. K. Mistry, “10 nm technology leadership,” Intel Technology and Manufacturing Day, 2017. [Online]. Available: https://newsroom.intel.com/newsroom/wp-content/uploads/sites/11/2017/03/Kaizad-Mistry-2017-Manufacturing.pdf
    26. Electronic Engineering Times, 2018, [Online]. Available: https://www.eetasia.com/news/article/18050304-tsmc-ready-for-euv-on-7-5nm/
    27. Electronic Engineering Times, 2018, [Online]. Available: https://www.eetimes.com/document.asp?doc_id=1333318
    28. Electronic Engineering Times, 2018, [Online]. Available: https://www.design-reuse.com/news/44136/samsung-foundry-roadmap.html?utm_content=59125&utm_campaign=44136&utm_medium=socnewsalert&utm_source=designreuse/
    29. Y.-K. Choi, T.-J. King, and C. Hu, “A spacer patterning technology for nanoscale CMOS,” IEEE Transactions on Electron Devices, vol. 49, no. 3, pp. 436 – 441, Mar. 2002.
    30. P. Xu, Y. Chen, Y. Chen, L. Miao, S. Sun, S.-W. Kim, A. Berger, D. Mao, C. Bencher, R. Hung, and C. Ngai, “Sidewall spacer quadruple patterning for 15 nm half-pitch,” Proceedings of SPIE, vol. 7973, pp. 79731Q-1 – 79731Q-12, Mar. 2011.
    31. C. Hu, “SOI and nanoscale MOSFETs,” Device Research Conference, Jun. 2001.
    32. Donald A. Neamen, Semiconductor Physics and Devices, International Fourth Edition, 2012.
    33. I. Ferain, C. A. Colinge and J.-P. Colinge, “Multigate transistors as the future of classical metal–oxide–semiconductor field-effect transistors,” Nature, vol. 479, pp. 310 – 316, Nov. 2011.
    34. M. Lapedus, “New Transistor Structures at 3nm/2nm,” Semiconductor Engineering, 2021, [Online]. Available: https://semiengineering.com/new-transistor-structures-at-3nm-2nm/
    35. J. Lacord, S. Martinie, O. Rozeau, M.-A. Jaud, S. Barraud, and J. C. Barbé, “Parasitic capacitance analytical model for sub-7-nm multigate devices,” IEEE Transactions on Electron Devices, vol. 63, pp. 781 – 786, Feb. 2016.
    36. M. Rau, E. Caruso, D. Lizzit, P. Palestri, D. Esseni, A. Schenk, L. Selmi and M. Luisier, “Performance projection of III-V ultra-thin-body, FinFET, and nanowire MOSFETs for two next-generation technology nodes,” IEEE International Electron Devices Meeting, pp. 30.6.1 – 30.6.4, Dec. 2016.
    37. S. Barraud, V. Lapras, M.-P. Samson, L. Gaben, L. Grenouillet, V. Maffini-Alvaro, Y. Morand, J. Daranlot, N. Rambal, B. Previtalli, S. Reboh, C. Tabone, R. Coquand, E. Augendre, O. Rozeau, J.-M. Hartmann, C. Vizioz, C. Arvet, P. Pimenta-Barros, N. Posseme, V. Loup, C. Combotoure, C. Euvrard, V. Balan, I. Tinti, G. Audoit, N. Bernier, D. Cooper, Z. Saghi, F. Allain, A. Toffoli, O. Faynot and M. Vinet, “Vertically stacked-nanowires MOSFETs in a replacement metal gate process with inner spacer and SiGe source/drain,” IEEE International Electron Devices Meeting, pp. 17.6.1 – 17.6.4, Dec. 2016.
    38. G. Yeap, S.S. Lin, Y.M. Chen, H.L. Shang, P.W. Wang, H.C. Lin, Y.C. Peng, J.Y. Sheu, M. Wang, X. Chen, B.R. Yang, C.P. Lin, F.C. Yang, Y.K. Leung, D.W. Lin, C.P. Chen, K.F. Yu, D.H. Chen, C.Y. Chang, H.K. Chen, P. Hung, C.S. Hou, Y.K. Cheng, J. Chang, L. Yuan, C.K. Lin, C.C. Chen, Y.C. Yeo, M.H. Tsai, H.T. Lin, C.O. Chui, K.B. Huang, W. Chang, H.J. Lin, K.W. Chen, R. Chen, S.H. Sun, Q. Fu, H.T. Yang, H.T. Chiang, C.C. Yeh, T.L. Lee, C.H. Wang, S.L. Shue, C.W. Wu, R. Lu, W.R. Lin, J. Wu, F. Lai, Y.H. Wu, B.Z. Tien, Y.C. Huang, L.C. Lu, Jun He, Y. Ku, J. Lin, M. Cao, T.S. Chang, and S.M. Jang “5nm CMOS production technology platform featuring full-fledged EUV, and high mobility channel FinFETs with densest 0.021µm2 SRAM cells for mobile SoC and high performance computing applications,” IEEE International Electron Devices Meeting, pp. 36.7.1 – 36.7.4, Dec. 2019.
    39. P. McLellan, “IEDM: TSMC on 3nm device options,” Cadence, 2020, [Online]. Available: https://community.cadence.com/cadence_blogs_8/b/breakfast-bytes/posts/iedm4
    40. D. Yakimets, G. Eneman, P. Schuddinck, T. H. Bao, M. G. Bardon, P. Raghavan, A. Veloso, N. Collaert, A. Mercha, D. Verkest, A. V.-Y. Thean, and K. De Meyer, “Vertical GAAFETs for the ultimate CMOS scaling,” IEEE Transactions on Electron Devices, vol. 62, pp. 1433 – 1439, May 2015.
    41. Y.-C. Huang, M.-H. Chiang, and S.-J. Wang, “An area efficient gate-all-around ring MOSFET,” IEEE Silicon Nanoelectronics Workshop, Jun. 2016.
    42. H. Liu, D. K. Mohata, A. Nidhi, V. Saripalli, V. Narayanan and S. Datta, “Exploration of vertical MOSFET and tunnel FET device architecture for Sub 10nm node applications,” IEEE 70th Device Research Conference, pp. 233 – 234, Jun. 2012.
    43. Y.-C. Huang, S.-J. Wang, and M.-H. Chiang, “S-shaped gate-all-around MOSFETs for high density design,” Ultimate Integration on Silicon (EUROSOI-ULIS) 2017 Joint International EUROSOI Workshop and International Conference on, pp. 160 – 163, Apr. 2017.
    44. International Roadmap for Devices and Systems, 2020, [Online]. Available: https://irds.ieee.org/editions/2020
    45. D. Jang, D. Yakimets, G. Eneman, P. Schuddinck, M. G. Bardon, P. Raghavan, A. Spessot, D. Verkest, and A. Mocuta, “Device exploration of nanosheet transistors for sub-7-nm technology node,” IEEE Transactions on Electron Devices, vol. 64, pp. 2707 – 2713, Jun 2017.
    46. T. Huynh-Bao, S. Sakhare, D. Yakimets, J. Ryckaert, A. V.-Y. Thean, A. Mercha, D. Verkest, and P. Wambacq, “A comprehensive benchmark and optimization of 5-nm lateral and vertical GAA 6T-SRAMs,” IEEE Transactions on Electron Devices, vol. 63, pp. 643 – 651, Feb. 2016.
    47. T. Song, W. Rim, J. Jung, G. Yang, J. Park, S. Park, Y. Kim, K.-H. Baek, S. Baek, S.-K. Oh, J. Jung, S. Kim, G. Kim, J. Kim, Y. Lee, S.-P. Sim, J. S. Yoon, K.-M. Choi, H. Won, and J. Park, “A 14 nm FinFET 128 Mb 6T SRAM with VMIN enhancement techniques for low-power applications,” IEEE Journal of Solid-State Circuits, vol. 50, pp. 158 – 169, Oct. 2014.
    48. Y.-B. Liao, M.-H. Chiang, K. Kim, and W.-C. Hsu, “A high-density SRAM design technique using silicon nanowire FETs,” International Semiconductor Device Research Symposium, Dec. 2011.
    49. S. Natarajan, M. Agostinelli, S. Akbar, M. Bost, A. Bowonder, V. Chikarmane, S. Chouksey, A. Dasgupta, K. Fischer, Q. Fu, T. Ghani, M. Giles, S. Govindaraju, R. Grover, W. Han, D. Hanken, E. Haralson, M. Haran, M. Heckscher, R. Heussner, P. Jain, R. James, R. Jhaveri, I. Jin, H. Kam, E. Karl, C. Kenyon, M. Liu, Y. Luo, R. Mehandru, S. Morarka, L. Neiberg, P. Packan, A. Paliwal, C. Parker, P. Patel, R. Patel, C. Pelto, L. Pipes, P. Plekhanov, M. Prince, S. Rajamani, J. Sandford, B. Sell, S. Sivakumar, P. Smith, B. Song, K. Tone, T. Troeger, J. Wiedemer, M. Yang, and K. Zhang, “A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 µm2 SRAM cell size,” IEEE International Electron Devices Meeting, pp. 71 – 73, Dec. 2014.
    50. A. Mocuta, P. Weckx, S. Demuynck, D. Radisic, Y. Oniki, and J. Ryckaert, “Enabling CMOS scaling towards 3nm and beyond,” IEEE Symposium on VLSI Technology, pp. 147 – 148, Jun. 2018.
    51. M. K. Gupta, P. Weckx, P. Schuddinck, D. Jang, B. Chehab, S Cosemans, J. Ryckaert, and W. Dehaene, “A comprehensive study of nanosheet and forksheet SRAM for beyond N5 node,” IEEE Transactions on Electron Devices, vol. 68, pp. 3819 – 3825, Aug. 2021.
    52. Y.-B. Liao, M.-H. Chiang, N. Damrongplasit, W.-C. Hsu, and T.-J. King Liu, “Design of gate-all-around silicon MOSFETs for 6-T SRAM area efficiency and yield,” IEEE Transactions on Electron Devices, vol. 61, pp.2371 – 2377, May 2014.
    53. C. Pan, P. Raghavan, D. Yakimets, P. Debacker, F. Catthoor, N. Collaert, Z. Tokei, D. Verkest, A. Voon-Yew Thean, and A. Naeemi, “Technology/system codesign and benchmarking for lateral and vertical GAA nanowire FETs at 5-nm technology node,” IEEE Transactions on Electron Devices, vol. 62, pp. 3125 – 3132, Oct. 2015.
    54. R. Kim, U. E. Avci, and I. A. Young, “CMOS performance benchmarking of Si, InAs, GaAs, and Ge nanowire n- and pMOSFETs with LG = 13 nm based on atomistic quantum transport simulation including strain effects,” IEEE International Electron Devices Meeting, pp. 875 – 878, Dec. 2015.
    55. R. Stevenson, “Rise of the nanowire transistor,” IEEE Spectrum, pp. 9 – 11, Feb. 2016.
    56. H. Mertens, R. Ritzenthaler, A. Chasin, T. Schram, E. Kunnen, A. Hikavyy, L.-Å. Ragnarsson, H. Dekkers, T. Hopf, K. Wostyn, K. Devriendt, S. A. Chew, M. S. Kim, Y. Kikuchi, E. Rosseel, G. Mannaert, S. Kubicek, S. Demuynck, A. Dangol, N. Bosman, J. Geypen, P. Carolan, H. Bender, K. Barla, N. Horiguchi, and D. Mocuta, “Vertically stacked gate-all-around Si nanowire CMOS transistors with dual work function metal gates,” IEEE International Electron Devices Meeting, pp. 19.7.1 – 19.7.4, Dec. 2016.
    57. J. G. Fossum and V. P. Trivedi, Fundamentals of Ultra-Thin-Body MOSFETs and FinFETs. New York: Cambridge Univ. Press, 2013.
    58. J. G. Fossum, Z. Zhou, L. Mathew, and B.-Y. Nguyen, “SOI versus bulk-silicon nanoscale FinFETs,” Solid-State Electronics, vol. 54, pp. 86 – 89, Feb. 2010.
    59. M. Karner, O. Baumgartner, Z. Stanojevic, F. Schanovsky, G. Strof, C. Kernstock, H. W. Karner, G. Rzepa, and T. Grasser, “Vertically stacked nanowire MOSFETS for sub-10nm nodes: advanced topography, device, variability, and reliability simulations,” IEEE International Electron Devices Meeting, pp. 30.7.1 – 30.7.4, Dec. 2016.
    60. A. Veloso, M. J. Cho, E. Simoen, G. Hellings, P. Matagne, N. Collaert, and A. Thean, “Gate-all-around nanowire FETs vs. triple-gate FinFETs: on gate integrity and device characteristics,” ECS Transactions, vol. 72, pp. 85 – 95, 2016.
    61. V. Trivedi, J. G. Fossum, and M. M. Chowdhury, “Nanoscale FinFETs with gate-source/drain underlap,” IEEE Transactions on Electron Devices, vol. 52, pp. 56 – 62, Jan. 2005.
    62. L.-T. Pang, K. Qian, C. J. Spanos, and B. Nikolic, “Measurement and analysis of variability in 45 nm strained-Si CMOS technology,” IEEE Journal of Solid-State Circuits, vol. 44, pp. 2233 – 2243, Aug. 2009.
    63. V. P. Trivedi and J. G. Fossum, “Quantum-mechanical effects on the threshold voltage of undoped double-gate MOSFETs,” IEEE Electron Device Letters, vol. 26, pp. 579 – 582, Aug. 2005.
    64. D. J. Frank, S. E. Laux, and M. V. Fischetti, “Monte Carlo simulation of a 30nm dual-gate MOSFET: How short can Si go?,” IEEE International Electron Devices Meeting, pp. 21.1.1 – 21.1.4, Dec. 1992.
    65. J. Chang, Y.-H. Chen, W.-M. Chan, S. P. Singh, H. Cheng, H. Fujiwara, J.-Y. Lin, K.-C. Lin, J. Hung, R. Lee, H.-J. Liao, J.-J. Liaw, Q. Li, C.-Y. Lin, M.-C. Chiang, and S.-Y. Wu, “A 7nm 256Mb SRAM in high-k metal-gate FinFET technology with write-assist circuitry for low-VMIN applications,” IEEE International Solid-State Circuits Conference, pp. 206 – 208, Feb. 2017.
    66. M. H. Na, E. J. Nowak, W. Haensch, and J. Cai, “The effective drive current in CMOS inverters,” IEEE International Electron Devices Meeting, pp. 121 – 124, Dec. 2002.
    67. Q. Liu, B. DeSalvo, P. Morin, N. Loubet, S. Pilorget, F. Chafik, S. Maitrejean, E. Augendre, D. Chanemougame, S. Guillaumet, H. Kothari, F. Allibert, B. Lherron, B. Liu, Y. Escarabajal, K. Cheng, J. Kuss, M. Wang, R. Jung, S. Teehan, T. Levin, M. Sankarapandian, R. Johnson, J. Kanyandekwe, H. He, R. Venigalla, T. Yamashita, B. Haran, L. Grenouillet, M. Vinet, O. Weber, E. Josse, F. Boeuf, M. Haond, J.-L. Bataillon, W. Kleemeier, T. Skotnicki, M. Khare, O. Faynot, B. Doris, M. Celik, and R. Sampson, “FDSOI CMOS devices featuring dual strained channel and thin BOX extendable to the 10nm node,” IEEE International Electron Devices Meeting, pp. 219 – 222, Dec. 2014.
    68. Y. M. Lee, M. H. Na, A. Chu, A. Young, T. Hook, L. Liebmann, E. J. Nowak, S. H. Baek, R. Sengupta, H. Trombley, and X. Miao, “Accurate performance evaluation for the horizontal nanosheet standard-cell design space beyond 7nm technology,” IEEE International Electron Devices Meeting, pp. 29.3.1 – 29.3.4, Dec. 2017.
    69. J.-P. Colinge, M.-H. Gao, A. Romano, H. Maes, and C. Claeys, “Silicon-on-insulator 'gate-all-around' MOS device,” IEEE SOS/SOI Technology Conference Proceedings, pp. 137 – 138, Oct. 1990.
    70. S.-H. Kim, J. G. Fossum, and V. P. Trivedi, “Bulk inversion in FinFETs and implied insights on effective gate width,” IEEE Transactions on Electron Devices, vol. 52, pp. 1993 – 1997, Aug. 2005.
    71. V. P. Trivedi, J. G. Fossum, and W. Zhang, “Threshold voltage and bulk inversion effects in nonclassical CMOS devices with undoped ultra-thin bodies,” Solid-State Electronics, vol. 51, pp. 170 – 178, Jan. 2007.
    72. J. Yao, J. Li, K. Luo, J. Yu, Q. Zhang, Z. Hou, J. Gu, W. Yang, Z. Wu, H. Yin, and W. Wang, “Physical insights on quantum confinement and carrier mobility in Si, Si0.45Ge0.55, Ge gate-all-around NSFET for 5 nm technology node,” IEEE Journal of the Electron Devices Society, vol. 6, pp. 841 – 848, Jul. 2018.
    73. J.-S. Yoon, J. Jeong, S. Lee, and R.-H. Baek, “Punch-through-stopper free nanosheet FETs with crescent inner-spacer and isolated source/drain,” IEEE Access, vol. 7, pp. 38593 – 38596, Mar. 2019.
    74. H. Yu, M. Schaekers, A. Peter, G. Pourtois, E. Rosseel, J.-G. Lee, W.-B. Song, K. M. Shin, J.-L. Everaert, S. A. Chew, S. Demuynck, D. Kim, K. Barla, A. Mocuta, N. Horiguchi, A. V.-Y. Thean, N. Collaert, and K. D. Meyer, “Titanium silicide on Si:P with precontact amorphization implantation treatment: contact resistivity approaching 1 × 10−9 ohm-cm2,” IEEE Transactions on Electron Devices, vol. 63, pp. 4632 – 4641, Oct. 2016.
    75. H. Yu, M. Schaekers, S. Demuynck, E. Rosseel, J. Everaert, S. A. Chew, A. Peter, S. Kubicek, K. Barla, A. Mocuta, N. Horiguchi, N. Collaert, A. V.-Y. Thean, and K. D. Meyer, “Process options to enable (sub-)1e-9 ohm.cm2 contact resistivity on Si devices,” IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC), pp. 66 – 68, May 2016.
    76. P. Raghavan, M. G. Bardon, D. Jang, P. Schuddinck, D. Yakimets, J. Ryckaert, A. Mercha, N. Horiguchi, N. Collaert, A. Mocuta, D. Mocuta, Z. Tokei, D. Verkest, A. Thean, and A. Steegen, “Holisitic device exploration for 7nm node,” IEEE Custom Integrated Circuits Conference, Sep. 2015.
    77. S. Jin, A.-T. Pham, W. Choi, Y. Nishizawa, Y.-T. Kim, K.-H. Lee, Y. Park, and E. S. Jung, “Performance evaluation of InGaAs, Si, and Ge nFinFETs based on coupled 3D drift-diffusion/multisubband boltzmann transport equations solver,” IEEE International Electron Devices Meeting, pp. 7.5.1 – 7.5.4, Dec. 2014.
    78. Coventor, 2019, [Online]. Available: https://www.coventor.com/blog/how-finfet-device-performance-affected-by-epitaxial-process-variations/
    79. R.-H. Yan, A. Ourmazd, and K. F. Lee, “Scaling the Si MOSFET: from bulk to SOI to bulk,” IEEE Transactions on Electron Devices, vol. 39, pp. 1704 – 1710, Jul. 1992.
    80. K. Suzuki, T. Tanaka, Y. Tosaka, H. Horie, and Y. Arimoto, “Scaling theory for double-gate SOI MOSFET’s,” IEEE Transactions on Electron Devices, vol. 40, pp. 2326 – 2329, Dec. 1993.
    81. C. P. Auth, and J. D. Plummer, “Scaling theory for cylindrical, fully-depleted, surrounding-gate MOSFET’s,” IEEE Electron Device Letters, vol. 18, pp. 74 – 76, Feb. 1997.
    82. S. Bangsaruntip, G. M. Cohen, A. Majumdar, and J. W. Sleight, “Universality of short-channel effects in undoped-body silicon nanowire MOSFETs,” IEEE Electron Device Letters, vol. 31, pp. 903 – 905, Sep. 2010.
    83. J.-S. Yoon, J. Jeong, S. Lee and R.-H. Baek, “Optimization of nanosheet number and width of multi-stacked nanosheet FETs for sub-7-nm node system on chip applications,” Japanese Journal of Applied Physics, vol. 58, pp. SBBA12-1 – SBBA12-5, Mar. 2019.
    84. R. Ritzenthaler, H. Mertens, V. Pena, G. Santoro, A. Chasin, K. Kenis, K. Devriendt, G. Mannaert, H. Dekkers, A. Dangol, Y. Lin, S. Sun, Z. Chen, M. Kim, J. Machillot, J. Mitard, N. Yoshida, N. Kim, D. Mocuta, and N. Horiguchi, “Vertically stacked gate-all-around Si nanowire CMOS transistors with reduced vertical nanowires separation, new work function metal gate solutions, and DC/AC performance optimization,” IEEE International Electron Devices Meeting, pp. 21.5.1 – 21.5.4, Dec. 2018.
    85. V. P. Trivedi. (2018). unpublished work.
    86. S. Barraud, V. Lapras, B. Previtali, M. P. Samson, J. Lacord, S. Martinie, M.-A. Jaud, S. Athanasiou, F. Triozon, O. Rozeau, J. M. Hartmann, C. Vizioz, C. Comboroure, F. Andrieu, J. C. Barbé, M. Vinet, and T. Ernst, “Performance and design considerations for gate-all-around stacked-nanowires FETs,” IEEE International Electron Devices Meeting, pp. 29.2.1 – 29.2.4, Dec. 2017.
    87. J.-S. Yuan, and L. Jiang, “Evaluation of hot-electron effect on LDMOS device and circuit performances,” IEEE Transactions on Electron Devices, vol. 55, pp. 1519 – 1523, Jun. 2008.
    88. Y.-T. Wu, “FinFETs and gate-all-around transistor designs in output stage and static random access memory,” Ph. D. dissertation, Department of Electrical Engineering, National Cheng Kung University, Taiwan, p. 8 and pp. 51 – 63, 2022.
    89. Y. Guo, J. Yao, B. Zhang, H. Lin, and C. Zhang, “Variation of lateral width technique in SoI high-voltage lateral double-diffused metal–oxide–semiconductor transistors using high-k dielectric,” IEEE Electron Device Letters, vol. 36, pp. 262 – 264, Mar. 2015.
    90. J. Šonský and A. Heringa, “Dielectric resurf: breakdown voltage control by STI layout in standard CMOS,” IEEE International Electron Devices Meeting, Dec. 2005.
    91. X. Luo, D. Ma, Q. Tan, J. Wei, J. Wu, K. Zhou, T. Sun, Q. Liu, B. Zhang, and Z. Li, “ A split gate power FINFET with improved ON-resistance and switching performance,” IEEE Electron Device Letters, vol. 37, pp. 1185 – 1188, Sep. 2016.
    92. A. Gupta, M. Shrivastava, M. S. Baghini, D. K. Sharma, H. Gossner, and V. R. Rao, “Part I: High-voltage MOS device design for improved static and RF performance,” IEEE Transactions on Electron Devices, vol. 62, pp. 3168 – 3175, Oct. 2015.
    93. P. Zheng, D. Connelly, F. Ding, and T.-J. King Liu, “Simulation-based study of the inserted-oxide FinFET for future low-power system-on-chip applications,” IEEE Electron Device Letters, vol. 36, pp. 742 – 744, Aug. 2015.
    94. Y.-C. Huang, Y.-T. Wu, J. F. Chen, S.-J. Wang, and M.-H. Chiang, “Hybrid FinFET fabrication with dummy gate for less restrictive alignment of lateral double diffusion,” International Electron Devices and Materials Symposium, Oct. 2019.
    95. B.-Y. Chen, K.-M. Chen, C.-S. Chiu, G.-W. Huang, H.-C. Chen, C.-C. Chen, F.-K. Hsueh, and E. Y. Chang, “Analog and RF characteristics of power FinFET transistors with different drain-extension designs,” IEEE Transactions on Electron Devices, vol. 65, pp. 4225 – 4231, Oct. 2018.
    96. S. M. Y. Sherazi, B. Chava, P. Debacker, M. G. Bardon, P. Schuddinck, F. Firouzi, P. Raghavan, A. Mercha, D. Verkest, and J. Ryckaert, “Architectural strategies in standard-cell design for the 7 nm and beyond technology node,” J. of Micro/Nanolithography, MEMS, and MOEMS, vol. 15, pp. 013507-1 – 013507-11, Feb. 2016.
    97. Y.-C. Huang, M.-H. Chiang, S.-J. Wang, Y.-S. Lai, G.-L. Luo and K. Wu “Process of hybrid fin/planar lateral MOSFET for high-voltage integrated circuits,” Symposium on Nano Devices Technology, Apr. 2020.
    98. X. Yin, Y. Zhang, H. Zhu, G. L. Wang, J. J. Li, A.Y. Du, C. Li, L. H. Zhao, W. X. Huang, H. Yang, L. Xie, X. Z. Ai, Y. B. Zhang, K. P. Jia, Z. H. Wu, X. L. Ma, Q. Z. Zhang, S. J. Mao, J. J. Xiang, J. F. Gao, X. B. He, G. B. Bai, Y. H. Lu, N. Zhou, Z. Z. Kong, Y. Zhang, J. Zhao, S. S. Ma, Z. H. Xuan, Y. Y. Li, L. Li, Q. H. Zhang, J. H. Han, R. L. Chen, Y. Qu, T. Yang, J. Luo, J. F. Li, H. X. Yin, H. Radamson, C. Zhao, W. W. Wang, and T. C. Ye, “Vertical sandwich gate-all-around field-effect transistors with self-aligned high-k metal gates and small effective-gate-length variation,” IEEE Electron Device Letters, vol. 41, pp. 8 – 11, Jan. 2020.

    下載圖示 校內:2024-07-01公開
    校外:2024-07-01公開
    QR CODE