簡易檢索 / 詳目顯示

研究生: 陳映璁
Chen, Ying-Tsung
論文名稱: 利用高介電係數電介質/金屬閘極結合化學氧化 物的整合結構去達到高效能的20奈米n型及p型 金屬氧化物半導體元件
Using High-K Dielectric/Metal Gate with the Chemical Oxide Integration Scheme to Achieve High Performance 20-nm n/pMOS Devices
指導教授: 張守進
Chang, Shoou-Jinn
學位類別: 博士
Doctor
系所名稱: 電機資訊學院 - 微電子工程研究所
Institute of Microelectronics
論文出版年: 2014
畢業學年度: 102
語文別: 英文
論文頁數: 115
中文關鍵詞: 高介電係數界面層等效氧化物厚度沉積後退火矽酸鉿分耦式電漿氮化
外文關鍵詞: High-K (HK), Interfacial layer (IL), Equivalent oxide thickness (EOT), post-deposition annealing (PDA), Hf-Silicate (HfSiO), Decoupled Plasma Nitridation (DPN)
相關次數: 點閱:112下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 為了達到高效能的20 奈米金屬氧化物半導體(Metal-Oxide-Semiconductor, MOS)元件之目標,金屬氧化物半導體的電介質材料和閘極長度需要持續不斷地薄化和微縮,然而當閘極電介質厚度變薄時,其絕緣能力也會隨之變差,閘極漏電流也會隨之而增加。因此高介電係數電介質材料被使用來降低閘極漏電流。而金屬閘電極的平帶電壓和等效功函數容易受到材料本質特性和高溫回火製程的限制和影響而退化至矽能帶中間,故高介電係數電介質/金屬閘極 (High-K dielectric/Metal gate) 的製程技術需要不斷的進步。本論文主要是分成四個部份去探討後高介電質/後金屬閘電極 (High-K-last/gate last) 結合化學氧化物界面層的製程整合結構,其研究方向著重於如何去獲得高效能的20 奈米n 型及p 型金屬氧化物半導體元件。
    首先,本論文呈現後高介電質/後金屬閘電極結合熱氧化物的整合結構能較前高介電質/後金屬閘電極結合熱氧化物的整合結構在20 奈米製程下能獲得較薄的等效氧化物厚度。雖然如此,其仍無法滿足20 奈米等效氧化物厚度薄化的要求。故本論文進一步地去使用化學氧化物取代熱氧化物來當作高介電係數電介質和矽之間的界面層。由實驗結果可知高介電係數電介質/化學氧化物界面層間會產生矽氧化鉿(Hf-silicate, HfSiO)元素層,這個矽氧化鉿物質可以提供較高的等效介電係數而進一步去得到較薄的等效氧化物厚度。
    但是化學氧化物界面層結構較熱氧化物界面層為鬆散,對元件來說,會增加其漏電徑,而造成閘極漏電流的上升。也就是說,化學氧化物界面層的閘極漏電流較熱氧化物界面層大,其中,n 型金屬氧化物半導體元件尤其顯著。因此,本論文進一步的提出利用沉積後退火處理製程來改善高介電質/化學氧化物界面層的品質而進一步地去降低閘極的漏電流,同時也討論何種氣體 (氧氣、氨氣、氮氣、氧氣+氨氣) 的沉積後退火處理製程能夠較有效的來降低因使用化學氧化物界面層所增加的閘極漏電流。實驗的分析結果顯示氧氣的沉積後退火處理製程能夠較其它氣體更為有效的降低閘極漏電流。而因使用氧氣沉積後退火處理製程所增加的等效氧化物厚度也可以藉由優化n 型及p 型功函數金屬來薄化。
    為了更進一步地去薄化n 型及p 型金屬氧化物半導體元件的等效氧化物厚度,在後高介電質/後金屬閘電極結合化學氧化物的整合結構下,本論文將沉積後退火處理製程改由分耦式電漿氮化結合後氮化退火處理製程來形成氮氧矽鉿化合物 (HfSiON)元素層,並達成等效氧化物厚度薄化的目的。並且由實驗結果發現低濃度的氮氣體結合高溫的後氮化退火處理製程,其所表現的平帶電壓和金屬公函數以及閘極漏電流與使用氧氣沉積後退火處理製程最為接近,p 型金屬氧化物半導體元件的平帶電壓只比其稍為退化一點,但卻能得到符合20 奈米元件的等效氧化物厚度薄化需求。
    最後,在後高介電質/後金屬閘電極結合化學氧化物的整合結構搭配氧氣沉積後退火處理製程下,本論文進一步地提出利用原子層沉積 (Atomic Layer Deposition,ALD) 技術去取代物理氣相沉積來沉積氮化鈦阻擋層。並由能量散佈光譜儀 (EDS)來分析金屬閘電極的剖面元素成分,藉以驗証原子層沉積氮化鈦阻擋層可以較物理氣相沉積所沉積氮化鈦阻擋層更能有效地抑制氧過度的從鉿基料高介電質/界面層擴散。並由電性結果發現較厚原子層沉積的氮化鈦阻擋層不但能有效地降低p 型金屬氧化物半導體元件的閘極漏電流,而且比物理氣相沉積氮化鈦阻擋層更能有效的薄化其等效氧化物厚度。除此之外,也能夠獲得更接近p 型金屬氧化物半導體元件平帶電壓的理想值。本論文說明了後高介電質/後金屬閘電極結合化學氧化物的整合結構,並深入的探討如何改善20 奈米n 型及p 型金屬氧化物半導體元件的效能。

    In order to achieve the purpose of high performance metal-oxide-semiconductor (MOS) devices of 20-nm technology node, the dielectric material and gate length of MOS devices are must continuously thinning and scaling down. However, the gate leakage current density (Jg) is also enhanced by thinner thickness and worse insulation capability of gate dielectric. For this reason, high-K dielectric materials were introduced to reduce the gate leakage current density. Nevertheless, the flat band voltage (Vfb) and effective work function (EWF) of metal gate electrode are easily degraded to silicon middle band gap that induced by material intrinsic characteristic limit and high temperature activation steps. Consequently, the technology of higk-K dielectric/metal gate (MG) needs nonstop progress to overcome this issue. This dissertation is divided into four main parts to study the high-K-last/gate-last with the chemical oxide interfacial layer (IL) integration scheme, and this thesis focuses on the how to obtain high performance nMOS and pMOS devices of 20-nm technology node.
    In first investigate, this dissertation presents that the high-K-last/gate-last integration scheme involving the use of a thermal oxide interfacial layer (IL) could provide more thinner equivalent oxide thickness (EOT) than high-K first/gate-last with a thermal oxide integration scheme in 20-nm technology node. Even though, it is still difficult to further thin down EOT to meet the demand of high performance and low power for 20-nm technology node. This dissertation has proposed a high-K-last/gate-last integration scheme with a chemical oxide interfacial layer (IL) to meet EOT thin down requirement. It was found that chemical oxide IL could form Hf-silicate (HfSiO) element at the high-K/IL interface so as to provide us a larger effective K value and a smaller equivalent oxide thickness. It was also found that the larger gate leakage current density for the samples with chemical oxide IL could be effectively suppressed by post-deposition annealing (PDA)treatment. In another word, the PDA treatment was used to improve the performances of high-K-last/gate-last integration scheme with a chemical oxide IL. Furthermore, it was found that PDA treatment induced larger EOT could be reduced by optimizing the metal gate stack. It was also found that we could achieve small gate leakage current density and minimal flat-band voltage (Vfb) degradation of nMOS and pMOS devices by PDA treatment in O2 atmosphere. Furthermore, it was found that equivalent oxide thickness,
    gate leakage current density and flab-band voltage could be further improved by optimizing the metal gate stack.
    In order to further to thin down the EOT, this dissertation presents used a decoupled plasma nitridation (DPN) with post-nitridation annealing (PNA) treatment method to improve and enhance the performances of nMOS and pMOS devices with high-K-last/gate-last integration scheme and chemical oxide IL. By introducing N to form HfSiON, it was found that DPN with appropriate PNA treatments could provide smaller equivalent oxide thickness for both nMOS and pMOS devices. It was also found that we could achieve thebest overall device performance for the high-K-last/gate-last integration scheme with a chemical oxide IL by introducing lower percentage nitrogen gas content during DPN followed by higher temperature PNA.
    In last study, this dissertation propose the use of the atomic layer deposition (ALD) TiN barrier metal to replace the physical vapor deposition (PVD) TiN barrier meyal on high-K-last/gate-last pMOS devices with a chemical oxide interlayer in 20-nm technology node. And the Energy Dispersive Spectrometer (EDS) was used to analyze the element distributes of cross-section of metal gate electrode for verifying the ALD TiN barrier metal which could effectively suppress oxygen out-diffusion from the high-K/IL stack. It was found that the pMOS devices with ALD TiN barrier metal exhibit lower gate leakage current density and thinner equivalent oxide thickness. Furthermore, it was found that wecould achieve larger flat band voltage (Vfb) and larger equivalent work function (EWF) from the pMOS devices with ALD TiN barrier metal. It was also found that we could further improve the performances of the fabricated pMOS devices by increasing the ALD TiN thickness.

    Contents Abstract (Chinese) II Abstract (English) V Contents VIII Table captions X Figure captions XI Chapter 1 Introduction 1 1.1 Background of High-K/Metal Gate Process and Related Development 1 1.2 Organization of This Dissertation 3 Chapter 2 Relevant Theory and Experimental Equipment 10 2.1 Introduction 10 2.2 Theory of Capacitance–Voltage (C–V) Extraction 11 2.3 EOT, Vfb and EWF Extraction 11 2.4 Experimental Equipment of MOSCAP Process 14 2.4.1 Metal Sputtering Equipment Introduction 14 2.4.2 Atomic Layer Deposition (ALD) System Introduction 14 2.4.3 Rapid Thermal Process (RTP) Introduction 14 2.4.4 Decoupled Plasma Nitridation (DPN) Process Introduction 14 2.5 The Analysis of MOSCAP Structure 17 2.5.1 High-Resolution Transmission Electron Microscopy (HRTEM) Equipment Introduction 17 2.5.2 Energy Dispersive Spectrometer (EDS) Technology Introduction 17 2.5.3 Angular Resolved X-ray Photoelectron Spectroscopy (ARXPS) Technology Introduction 18 Chapter 3 Metal-Oxide-Semiconductor Capacitor (MOSCAP) Test Structure Design and Fabrication 37 3.1 Introduction 37 3.2 MOSCAP Test Structure Design 39 3.3 MOSCAP Test Structure Fabrication Introduction 39 Chapter 4 Chemical Oxide Interfacial Layer (IL) for the High-K-Last/Gate-Last Integration Scheme 53 4.1 Introduction 53 4.2 Experimentation Design and Devices Fabrication 55 4.3 Physical and Electrical Characteristics of Interfacial Layer (IL) 56 4.4 Effects of Post-Deposition Annealing (PDA) Treatment 59 4.5 Summary 61 Chapter 5 Effects of DPN with PNA Treatment for High-K Stacks with Chemical Oxide Interfacial Layer 73 5.1 Introduction 73 5.2 Experimentation Design and Devices Fabrication 74 5.3 Electrical and Physical Investigations of DPN with PNA Treatment 75 5.3.1 Electrical Characteristics of DPN with PNA Treatment 75 5.3.2 Physical Characteristics of DPN with PNA Treatment 77 5.4 Summary 78 Chapter 6 ALD TiN Barrier Metal for pMOS Devices with a Chemical Oxide Interfacial Layer for 20-nm Technology Node 86 6.1 Introduction 86 6.2 Experimentation Design and Devices Fabrication 87 6.3 Electrical and Physical Investigations of TiN Barrier Metal 88 6.3.1 Electrical Characteristics of TiN Barrier Metal 88 6.3.2 Physical Characteristics of TiN Barrier Metal 90 6.4 Summary 91 Chapter 7 Conclusion and Future Work 97 7.1 Conclusion 97 7.2 Future Work 98 Abstract (Chinese) II Abstract (English) V Contents VIII Table captions X Figure captions XI Chapter 1 Introduction 1 1.1 Background of High-K/Metal Gate Process and Related Development 1 1.2 Organization of This Dissertation 3 Chapter 2 Relevant Theory and Experimental Equipment 10 2.1 Introduction 10 2.2 Theory of Capacitance–Voltage (C–V) Extraction 11 2.3 EOT, Vfb and EWF Extraction 11 2.4 Experimental Equipment of MOSCAP Process 14 2.4.1 Metal Sputtering Equipment Introduction 14 2.4.2 Atomic Layer Deposition (ALD) System Introduction 14 2.4.3 Rapid Thermal Process (RTP) Introduction 14 2.4.4 Decoupled Plasma Nitridation (DPN) Process Introduction 14 2.5 The Analysis of MOSCAP Structure 17 2.5.1 High-Resolution Transmission Electron Microscopy (HRTEM) Equipment Introduction 17 2.5.2 Energy Dispersive Spectrometer (EDS) Technology Introduction 17 2.5.3 Angular Resolved X-ray Photoelectron Spectroscopy (ARXPS) Technology Introduction 18 Chapter 3 Metal-Oxide-Semiconductor Capacitor (MOSCAP) Test Structure Design and Fabrication 37 3.1 Introduction 37 3.2 MOSCAP Test Structure Design 39 3.3 MOSCAP Test Structure Fabrication Introduction 39 Chapter 4 Chemical Oxide Interfacial Layer (IL) for the High-K-Last/Gate-Last Integration Scheme 53 4.1 Introduction 53 4.2 Experimentation Design and Devices Fabrication 55 4.3 Physical and Electrical Characteristics of Interfacial Layer (IL) 56 4.4 Effects of Post-Deposition Annealing (PDA) Treatment 59 4.5 Summary 61 Chapter 5 Effects of DPN with PNA Treatment for High-K Stacks with Chemical Oxide Interfacial Layer 73 5.1 Introduction 73 5.2 Experimentation Design and Devices Fabrication 74 5.3 Electrical and Physical Investigations of DPN with PNA Treatment 75 5.3.1 Electrical Characteristics of DPN with PNA Treatment 75 5.3.2 Physical Characteristics of DPN with PNA Treatment 77 5.4 Summary 78 Chapter 6 ALD TiN Barrier Metal for pMOS Devices with a Chemical Oxide Interfacial Layer for 20-nm Technology Node 86 6.1 Introduction 86 6.2 Experimentation Design and Devices Fabrication 87 6.3 Electrical and Physical Investigations of TiN Barrier Metal 88 6.3.1 Electrical Characteristics of TiN Barrier Metal 88 6.3.2 Physical Characteristics of TiN Barrier Metal 90 6.4 Summary 91 Chapter 7 Conclusion and Future Work 97 7.1 Conclusion 97 7.2 Future Work 98 Reference 101

    Reference
    [1] H. Xiao, Introduction to Semiconductor Manufacturing Technology, Prentice–Hall Inc., 2001.
    [2] 許哲華,“The Study of Tuning Work Function with Multi-Layer Metal Stacks for Deep Nano High-K/Metal Gate CMOSFET Applications,” Master's degree dissertation, National Cheng Kung University Institute of Electrical Engineering, Tainan, Taiwan, 2011.
    [3] Y. Abe, T. Oishi, K. Shiozawa, Y. Tokuda, and S. Satoh, "Simulation study on comparison between metal gate and PolySilicon gate for sub-quarter- micron MOSFET's," in IEEE Electron Device Lett., Vol. 20, pp. 632 – 634, 1999.
    [4] R. S. Kajen, K. F. Ken, P. B. Chang, “Computation of Direct Tunneling Gate Leakage Currents in Nano-MOSFETs using Ensemble Full Band Monte Carlo with Quantum Correction,” in IEEE International Conference on Nanotechnology, pp. 76-80, 2007.
    [5] C. Y. Wong, J. Y. Sun, Y. Taur, C. S. Oh, R. Angelucci, and B. Davari, “Doping of n/sup +/ and p/sup +/ polysilicon in a dual-gate CMOS process,” in IEDM Tech. Dig., pp. 238–241, 1988.
    [6] W. F. Bill Clark, " FEOL Process Challenges", in VLSI Sym. Proc. short course., 2007.
    [7] L. Wu, K. S. Yew, D. S. Ang, W. J. Liu, T. T. Le, T. L. Duan, C. H. Hou, X. F. Yu, D. Y. Lee, K. Y. Hsu, J. Xu, H. J. Tao, M. Cao, and H. Y. Yu, “A novel multi deposition multi room-temperature annealing technique via ultraviolet-ozone to improve high-k/metal (HfZrO/TiN) gate stack integrity for a gate-last process,” in IEDM Tech. Dig., pp. 273-276, 2010.
    [8] A. Veloso, L. Å. Ragnarsson, M. J. Cho, K. Devriendt, K. Kellens, F. Sebaai, S. Suhard, S. Brus, Y. Crabbe, T. Schram, E. Röhr, V. Paraschiv, G. Eneman, T. Kauerauf, M. Dehan, S. H. Hong, S. Yamaguchi, S. Takeoka, Y. Higuchi, H. Tielens, A. Van Ammel, P. Favia, H. Bender, A. Franquet, T. Conard, X. Li, K. L. Pey, H. Struyf, P. Mertens, P. P. Absil, N. Horiguchi, and T. Hoffmann, “gate-last vs. gate-first technology for aggressively scaled EOT logic/RF CMOS,” in VLSI Tech. Dig., pp. 34-35, 2011.
    [9] C. L. Hinkle, R. V. Galatage, R. A. Chapman, E. M. Vogel, H. N. Alshareef,, C. Freeman, E. Wimmer, H. Niimi, A. Li-Fatou, J. B. Shaw, and J. J. Chambers, “Dipole controlled metal gate with hybrid low resistivity cladding for gate-last CMOS with low Vt,” in VLSI Tech. Dig., pp. 1831-184, 2010.
    [10] M. Chudzik, B. Doris, R. Mo, J. Sleight, E. Cartier, C. Dewan, D. Park, H. Bu, W. Natzle, W. Yan, C. Ouyang, K. Henson, D. Boyd, S. Callegari, R. Carter, D. Casarotto, M. Gribelyuk, M. Hargrove, W. He, Y. Kim, B. Linder, N. Moumen, V.K. Paruchuri, J. Stathis, M. Steen, A. Vayshenker, X. Wang, S. Zafar, T. Ando, R. Iijima, M. Takayanagi, V. Narayanan, R. Wise, Y. Zhang, R. Divakaruni, M. Khare, and T. C. Chen, “High-performance high-k/metal gates for 45 nm CMOS and beyond with gate-first processing,” in Proc. VLSI Technol. Dig., pp. 194-195, 2007.
    [11] X. Chen, S. Samavedam, V. Narayanan, K. Stein, C. Hobbs, C. Baiocco, W. Li, D. Jaeger, M. Zaleski, H. S. Yang, N. Kim, Y. Lee, D. Zhang, L. Kang, J. Chen, H. Zhuang, A. Sheikh, J. Wallner, M. Aquilino, J. Han, Z. Jin, J. Li, G. Massey, S. Kalpat, R. Jha, N. Moumen, R. Mo, S. Kirshnan, X. Wang, M. Chudzik, M. Chowdhury, D. Nair, C. Reddy, Y. W. Teh, C. Kothandaraman, D. Coolbaugh, S. Pandey, D. Tekleab, A. Thean, M. Sherony, C. Lage, J. Sudijono, R. Lindsay, J. H. Ku, M. Khare, and A. Steegen, “A Cost Effective 32nm High-K/ Metal Gate CMOS Technology for Low Power Applications with Single-Metal/Gate-First Process,” in VLSI Tech. Dig., pp. 88-89, 2008.
    [12] S. Hyun, J. H. Han, H. B. Park, H. J. Na, H. J. Son, H. Y. Lee, H. S. Hong, H. L. Lee, J. Song, J. J. Kim, J. Lee, W. C. Jeong, H. J. Cho, K. I. Seo, D.W. Kim, S. P. Sim, S. B. Kang, D. K. Sohn, S. Y. Choi, H. K. Kang, and C. H. Chung, “Aggressively scaled high-k last metal gate stack with low variability for 20 nm logic high performance and low power applications,” in Proc. VLSI Technol. Dig., pp. 32–33, 2011.
    [13] G. Ribes, J. Mitard, M. Denais, S. Bruyere, F. Monsieur, C. Parthasarathy, E. Vincent, and G. Ghibaudo, “Review on high-k dielectrics reliability,” in IEEE Trans. Dev. Mater. Rel., vol. 5, no. 1, pp. 5-19, 2005.
    [14] W. Vandervorst, B. Brijs, H. Bender, O.T. Conard, J. Petry, O. Richard, S. Van Elshocht, A. Delabie, M. Caymax, S. De Gendt, V. Cosnier, M. Green and J. Chen, “Physical characterization of ultrathin high k dielectrics,” in Plasma- and Process-Induced Damage, 2003 8th International Symposium, pp. 40-50, 2003.
    [15] J. Ruzyllo, D. O. Lee, P. Roman, M. Horn, P Mumbauer, M. Brubaker and R. Grant, “Studies of high-k dielectrics deposited by liquid source misted chemical deposition in MOS gate structures,” in IEEE/SEMI, Advanced Semiconductor Manufacturing Conference, pp. 71-75, 2001.
    [16] G. D. Wilk, R. M. Wallace, and J. M. Anthony, “High-k gate dielectrics: Current status and materials properties considerations,” in J. Appl. Phys., vol. 89, no. 10, pp. 5243–5275, May 2001.
    [17] Wilk, G. D.; Wallace, R. M.; Anthony, J. M.; , "Hafnium and zirconium silicates for advanced gate dielectrics," in Journal of Applied Physics , vol.87, no.1, pp.484-492, Jan 2000.
    [18] H. S. P. Wong, "Field effect transistors-from silicon MOSFETs to carbon nanotube FETs," in Microelectronics, 2002. MIEL 2002. 23rd International Conference on , vol.1, no., pp. 103- 107 vol.1, 2002.
    [19] C. Auth, “45nm High-k + Metal Gate Strain-Enhanced CMOS Transistors,” in IEEE Custom Intergrated Circuits Conference (CICC), pp. 379-386, 2008.
    [20] K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M. Buehler, A. Cappellani, R. Chau, C.-H. Choi, G. Ding, K. Fischer, T. Ghani, R. Grover, W. Han, D. Hanken, M. Hattendorf, J. He, J. Hicks , R. Huessner, D. Ingerly, P. Jain, R. James, L. Jong, S. Joshi, C. Kenyon, K. Kuhn, K. Lee, H. Liu, J. Maiz, B. McIntyre, P. Moon, J. Neirynck, S. Pae, C. Parker, D. Parsons, C. Prasad, L. Pipes, M. Prince, P. Ranade, T. Reynolds, J. Sandford, L. Shifren, J. Sebastian, J. Seiple, D. Simon, S. Sivakumar, P. Smith, C. Thomas, T. Troeger, P. Vandervoorn, S. Williams and K. Zawadzki, “A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging,” in IEDM Tech. Dig., pp. 247-250, 2007.
    [21] C. H. Jan, P. Bai, S. Biswas, M. Buehler, Z. P. Chen, G. Curello, S. Gannavaram, W. Hafez, J. He, J. Hicks, U. Jalan, N. Lazo, J. Lin, N. Lindert, C. Litteken, M. Jones, M. Kang, K. Komeyli, A. Mezhiba, S. Naskar, S. Olson, J. Park, R. Parker, L. Pei, I. Post, N. Pradhan, C. Prasad, M. Prince, J. Rizk, G. Sacks, H. Tashiro, D. Towner, C. Tsai, Y. Wang, L. Yang, J.-Y. Yeh, J. Yip, K. Mistry, "A 45nm Low Power System-On-Chip Technology with Dual Gate (Logic and I/O) High-k/Metal Gate Strained Silicon Transistors", in IEDM Tech. Dig., p.637-640, 2008.
    [22] C. S. Park, M. M. Hussain, J. Huang, C. Park, K. Tateiwa, C. Young, H. K. Park, M. Cruz, D. Gilmer, K. Rader, J. Price, P. Lysaght, D. Heh, G. Bersuker, P. D. Kirsch, H. H. Tseng, and R. Jammy, “A Scalable and Highly Manufacturable Single Metal Gate/High-k CMOS Integration for Sub-32nm Technology for LSTP Applications,” in Proc. VLSI Technol. Dig., pp. 208-209, 2009.
    [23] S. H. Kulkarni, S. Pae, Z. Chen, W. Hafez, B. Pedersen, A. Rahman, T. Tong, U. Bhattacharya, C. H. Jan, K. Zhang, “A 32nm High-k and Metal-Gate Anti-Fuse Array Featuring a 1.01µm2 1T1C Bit Cell,” in Proc. VLSI Technol. Dig., pp. 79-80, 2012.
    [24] S. H. Yang, S. Lee, J. Y. Lee, J. Cho, H. J. Lee, D. Cho, J. Heo, S. Cho, Y. Shin, S. Yun, E. Kim, U. Cho, E. Pyo, M. H. Park, J. C. Son, C. Kim, J. Youn, Y. Chung, S. Park, S. H. Hwang, “A 32nm High-k Metal Gate Application Processor with GHz Multi-Core CPU,” in Solid-State Circuits Conference Digest of Technical Papers, pp. 214-216, 2012.
    [25] Y. Nakajima, K. Kita, T. Nishimura, K. Nagashio, and A. Toriumi, “Phase transformation kinetics of HfO2 polymorphs in ultra-thin region,” in VLSI Tech. Dig., pp. 84-85, 2011.
    [26] J. L. Gavartin, L. Fonseca, G. Bersuker, and A. L. Shluger, “Ab initio modeling of structure and defects at the HfO2/Si interface,” in Microelectron. Eng., vol. 80, pp. 412-415, 2005.
    [27] W. J. Song, S. K. So, D. Y. Wang, Y. Qiu, and L. Cao, “Angle dependent X-ray photoemission study on UV-ozone treatments of indium tin oxide,” in Appl. Surf. Sci., vol. 177, pp.158-164, 2001.
    [28] S. Migita, Y. Watanabe, H. Ota, H. Ito, Y. Kamimuta, T. Nabatame, and A. Toriumi, “Design and Demonstration of Very High-k (k~50) HfO2 for Ultra-Scaled Si CMOS,” in VLSI Tech. Dig., pp. 152-153, 2008.
    [29] T. Yang, C. Zhao, G. B. Xu, Q. X. Xu, J. F. Li, W. W. Wang, J. Yan, H. L. Zhu and D. P. Chen, “HfSiON high-k layer compatibility study with tetramethyl ammonium hydroxide (TMAH) solution”, in Electrochem. Solid State Lett., vol. 15, pp. H141-H144, 2012.
    [30] Z. H. Zhang and S. A. Campbell, “Effect of deposition chemistry and annealing on charge in HfO2 stacks,” in IEEE Electron Dev. Lett., vol. 27, no. 6, pp. 448–450, June 2006.
    [31] M. Aoulaiche, M. Houssa, T. Conard, S. DeGendt, G. Groeseneken, H. E. Maes, and M. M. Heyns, “Postdeposition-anneal effect on negative bias temperature instability in HfSiON gate stacks,” in IEEE Tran. Dev. Mater. Reliability, vol. 7, no. 1, pp. 146–151, March. 2007.
    [32] Y. Kamimuta, K. Iwamoto, Y. Nunoshige, A. Hirano, W. Mizubayashi, Y. Watanabe, S. Migita, A. Ogawa1, H. Ota, T. Nabatame, and A. Toriumi “Comprehensive study of VFB shift in high-k CMOS - dipole formation, Fermi-level pinning and oxygen vacancy effect,” in IEDM Tech. Dig., pp. 341-344, 2007.
    [33] K. Iwamoto, A. Ogawa, Y. Kamimuta, Y. Watanabe, W. Mizubayashi, S. Migita, Y. Morita, M. Takahashi, H. Ito, H. Ota, T. Nabatame, and A. Toriumi, “Re-examination of flat-band voltage shift for high-k MOS devices,” in VLSI Tech. Dig., pp. 70-71, 2007.
    [34] J. Goswami and A. McTeer, “EOT, workfunction, and Vfb roll-off in HfO2/metal gate stacks,” in IEEE WMED, 2009.
    [35] R. Kasim, C. Connor, J. Hicks, J. Jopling and C. Litteken, “Reliability for Manufacturing on 45nm Logic Technology With High-k + Metal Gate Transistors and Pb-free Packaging,” in Reliability Physics Symposium, 2009 IEEE International, pp. 350-354, 2009.
    [36] K. Joshi, S. Hung, S. Mukhopadhyay, T. Sato, M. Bevan, B. Rajamohanan, A. Wei, A. Noori, B. McDougall, C. Ni, C. Lazik, G. Saheli, P. Liu, D. Chu, L. Date, S. Datta, A. Brand, J. Swenberg, and S. Mahapatra, “Scaled Gate Stacks for Sub-20-nm CMOS Logic Applications Through Integration of Thermal IL and ALD HfOx,” in ELECTRON DEVICE LETTERS, vol. 34, no. 1, pp. 3-5, 2013.
    [37] K. Joshi, S. Hung, S. Mukhopadhyay, V. Chaudhary, N. Nanaware, B. Rajamohnan, T. Sato, M. Bevan, A. Wei, A. Noori, B. Mc.Dougal, C. Ni, G. Saheli, C. Lazik, P. Liu, D. Chu, L. Date, S. Datta, A. Brand, J Swenberg, and S. Mahapatra, “HKMG Process Impact on N, P BTI: Role of Thermal IL Scaling, IL/HK Integration and Post HK Nitridation,” in Reliability Physics Symposium (IRPS), pp. 4C.2.1 - 4C.2.10, 2013.
    [38] J. Franco, B. Kaczer, G. Eneman, J. Mitard, A. Stesmans, V. Afanasev, T. Kauerauf, P. J. Roussel, M. Toledano-Luque, M. Cho, R. Degraeve, T. Grasser, L. Å. Ragnarsson, L. Witters, J. Tseng, S. Takeoka, W. E. Wang, T. Y. Hoffmann, and G. Groeseneken, “6Å EOT Si0.45Ge0.55 pMOSFET with optimized reliability (VDD=1V): meeting the NBTI lifetime target at ultra-thin EOT ,” in IEDM Tech. Dig., pp. 70–73, 2010.
    [39] H. H. Tseng, Y. Jeon, P. Abramowitz, T. Y. Luo, L. Hebert, J. J. Lee, J. Jiang, P. J. Tobin, G. C. F. Yeap, M. Moosa, J. Alvis, S. G. H. Anderson, N. Cave, T. C. Chua, A. Hegedus, G. Miner, J. Jeon, and A. Sultan, “Ultra-thin decoupled plasma nitridation (DPN) oxynitride gate dielectric for 80-nm advanced technology,” in IEEE Electron Dev. Lett., vol. 23, pp. 704–706, 2002.
    [40] C. Y. Hu, S. C. Chen, J. F. Chen, S. J. Chang, M. H. Wang, V. Yeh and J. C. Chen, "Ultrathin decoupled plasma nitridation SiON gate dielectrics prepared with various RF powers", in J. Vac. Sci. Technol. B, vol. 25, pp. 1298-1304, 2007.
    [41] G. Bersuker, C. S. Park, H. C. Wen, K. Choi, J. Price, P. Lysaght, H. H. Tseng, O. Sharia, A. Demkov, J. T. Ryan, and P. Lenahan, “Origin of the flatband-voltage roll-off phenomenon in metal/high-k gate stacks,” in IEEE Trans. Electron. Dev., vol. 57, no. 9, pp. 2047-2056, Sep. 2010.
    [42] H. C. Wen, R. Choi, G. A. Brown, T. Boscke, K. Matthews, H. R. Harris, K. Choi, H. N. Alshareef, H. Luan, G. Bersuker, P. Majhi, D. L. Kwong, and B. H. Lee, “Comparison of Effective Work Function Extraction Methods Using Capacitance and Current Measurement Techniques,” in ELECTRON DEVICE LETTERS, vol. 27, no. 7, pp. 598-601, 2006.
    [43] R. Jha, J. Gurganos, Y. H. Kim, R. Choi, J. Lee, and V. Misra, “A capacitance-based methodology for work function extraction for metals on high-k,” in IEEE Electron Device Lett., vol. 25, no. 6, pp. 420–423, Jun. 2004.
    [44] G. Bersuker, C. S. Park, H. C. Wen, K. Choi, J. Price and P. Lysaght, Hsing-Huang Tseng, O. Sharia, Alex Demkov, Jason T. Ryan, and P. Lenahan, “Origin of the Flatband-Voltage Roll-Off Phenomenon in Metal/High-Gate Stacks, in TRANSACTIONS ON ELECTRON DEVICES, vol. 57, no. 9, pp. 2047-2056, 2010.
    [45] J. R. Hauser and K. Ahmed, "Characterization of ultra-thin oxides using electrical C–V and I–V measurements", in AIP Conf. Proc. 449, p.235-239, 1998.
    [46] J. R. Hauser, "Extraction of experimental mobility data for MOS devices, " in IEEE Trans. Electron Devices, vol. 43, no. 11, p.1981-1988, Nov. 1996.
    [47] W. K. Shih, S. Jallepalli, G. Chindalore, S. Hareland, C. M. Maziar, and A. F. Tasch, UTQUANT 2.0, User's Guide. The Univerisity of Texas at Austin, October 1997.
    [48] S. H. Lo, D. A. Buchanan, and Y. Taur, "Modeling and characterization of quantizatoin, polysilicon depletion, and direct tunneling effects in MOSFETs with ultrathin oxides," in IBM J. Res. Develop., vol. 43, pp. 327-337, 1999.
    [49] S. H. Lo, D. A. Buchanan, Y. Taur, and W. Wang, "Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide nMOSFET's," in IEEE Electron Device Lett., vol. 18, pp. 209-211, 1997.
    [50] C. A. Richter, E. Vogel, A. Hefner, and G. Brown, "Quantum mechanical device simulation benchmarking," presented at Gate stack & reliability engineering workinggroup meeting, North Carolina State University, 1999.
    [51] Y. C. Yeo, P. Ranade, Q. Lu, R. Lin, T. J. King and C. Hu, "Effects of high-κ dielectrics on the workfunctions of metal and silicon gates," in VLSI Technology, 2001. Digest of Technical Papers. 2001 Symposium on , p.49-50, 2001.
    [52] Y. C. Yeo, P. Ranade, T. J. King and C. Hu; , "Effects of high-κ gate dielectric materials on metal and silicon gate workfunctions," in IEEE Electron Device Letters, vol.23, no.6, pp.342-344, Jun 2002.
    [53] G. Brown, G. Smith, J. Saulters, K. Matthews, H. C. Wen, P. Majhi, and B. H. Lee, “An improved methodology for gate electrode work function extraction in SiO2 and high-k gate stack systems using terraced oxide structures,” in Proc. IEEE SISC Conf., p. 15, 2004.
    [54] O. Sharia, A. A. Demkov, G. Bersuker, and B. H. Lee, “Theoretical study of the insulator/insulator interface: Band alignment at the SiO2/HfO2 junction,” in Phys. Rev. B, Condens. Matter, vol. 75, no. 3, p. 035306, Jan. 2007.
    [55] R. Behrisch (ed.), Sputtering by Particle bombardment:. Springer, Berlin. ISBN 978-3-540-10521-3, 1981.
    [56] D.J. Smith, Reports Prog. Phys., pp. 1513-1580, 1997.
    [57] Spence, C. H. John, “Experimental high-resolution electron microscopy,” in New York: Oxford U. Press, 1980.
    [58] C. Kisielowski, B. Freitag, M. Bischoff, H. Lin, S. Lazar, G. Knippels, P. Tiemeijer, M. Stam, S. Harrach, M. Stekelenburg, M. Haider, H. Muller, P. Hartel, B. Kabius, D. Miller, I. Petrov, E. Olson, T. Donchev, E. A. Kenik, A. Lupini, J. Bentley, S. Pennycook, A. M. Minor, A. K. Schmid, T. Duden, V. Radmilovic, Q. Ramasse, R. Erni, M. Watanabe, E. Stach, P. Denes, U. Dahmen, “Detection of single atoms and buried defects in three dimensions by aberration-corrected electron microscopy with 0.5 Å information limit,” Microscopy and Microanalysis 14, pp. 469–477, 2008.
    [59] G. Tasneem, W. S. M. Werner, W. Smekal and C. J. Powell, “Simulation of parallel angle-resolved X-ray photoelectron spectroscopy data,” in C Surf. Interface Anal., DOI 10.1002/sia.3321, 2010.
    [60] P. J. Cumpson, Surface Analysis by Auger and X-ray Photoelectron Spectroscopy, IM Publications: Chichester, pp. 651, 2003.
    [61] W. A. M. Aarnink, A. Weishaupt and A. van Silfhout, “Angle-resolved X-ray photoelectron spectroscopy (ARXPS) and a modified Levenberg-Marquardt fit procedure: a new combination for modeling thin layers,” in Elsevier Science Publishers B.V. (North-Holland) 45, pp. 37–48, 1990.
    [62] O. A. Baschenko and V. I. Nefedov, Relative intensities in X-ray photoelectron spectra: Part VII. The effect of elastic scattering in a solid on the angular distribution of photoelectrons escaping from samples covered with thin films of various thicknesses, in J. Electron Spectrosc. Relat. Phenom., 21, 153-169, 1980.
    [63] C. S. Fadley, R. J. Baird, T. Novakov and S. A. L. Bergstrom, Surface analysis and angular distributions in x-ray photoelectron spectroscopy, in J. Electron Spectrosc. Relat. Phenom., 4, 93-137, 1974.
    [64] V. M. Srivastava, “Capacitance-Voltage Measurement for Characterization of a Metal-Gate MOS Process,” in International Journal of Recent Trends in Engineering, vol. 1, no. 4, pp. 4-7, 2009.
    [65] J. D. Plummer, M. D. Deal and P. B. Griffin, “in Silicon VLSI Technology,” Upper Saddle River, New Jersey, Prentice Hall Inc., p.556, 2000.
    [66] Y. Nishida, T. Kawahara, S. Sakashita, M. Mizutani, S. Yamanari, M. Higashi, N. Murata, M. Inoue, J. Yugami, S. Endo, T. Hayashi, T. Yamashita, H. Oda and Y. Inoue, “Advanced poly-Si NMIS and poly-Si/TiN PMIS hybrid-gate high-k CMIS using PVD/CVD-stacked TiN and local strain technique,” in Proc. VLSI Technol. Dig., pp. 214–215, 2007.
    [67] C. D. Wang, C. X. Li, C. H. Leung and P. T. Lai, "Effects of sputtering and annealing temperatures on MOS capacitor with HfTiON gate dielectric", Electron Devices and Solid-State Circuits, 2009. EDSSC 2009. in IEEE International Conference of, On page(s): 209 - 212, Volume: Issue: , 25-27 Dec. 2009.
    [68] E. P. Gusev, V. Narayanan, and M. M. Frank, “Advanced high-k dielectric stacks with polySi and metal gates: Recent progress and current challenges,” in IBM J. Res. Develop., vol. 50, no. 4/5, pp. 387-410, Jul. 2006.
    [69] C. L. Hinkle, R. V. Galatage, R. A. Chapman, E. M. Vogel, H. N. Alshareef, C. Freeman, E. Wimmer, H. Niimi, A. Li-Fatou, J. B. Shaw, and J. J. Chambers, "Interfacial oxygen and nitrogen induced dipole formation and vacancy passivation for increased effective work functions in TiN/HfO2 gate stacks", in Appl. Phys. Lett. 96, 103502, 2010.
    [70] P. S. Das and A. Biswas, “Interfacial Characterization and Reliability Issues of High-k Gate Dielectrics on GaAs Substrate for MOSFET Applications,” in IEEE/OSA/IAPR International Conference on Infonnatics, Electronics & Vision, 978-1-4673-1154-0112, pp. 1113-1117, 2012.
    [71] P. Y. Lin, T. H. Yang, Y.T. Sung, C.J. Lin, Y.C. King, T.S. Chang, “Self-Align Nitride Based Logic NVM in 28nm High-k Metal Gate CMOS technology,” in Proc. VLSI Technol. Dig., pp. 1-2, 2013.
    [72] S. K. Gupta and S. Baishya, “Effect of High-k Gate Ma terials on Analog and RF Performance of Double Metal Double Gate (DMDG) MOSFETs” in IEEE India Conference (INDICON), pp. 1-6, 2013.
    [73] K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M. Buehler, A. Cappellani, R. Chau, C.-H. Choi, G. Ding, K. Fischer, T. Ghani, R. Grover, W. Han, D. Hanken, M. Hattendorf, J. He, J. Hicks, R. Huessner, D. Ingerly, P. Jain, R. James, L. Jong, S. Joshi, C. Kenyon, K. Kuhn, K. Lee, H. Liu, J. Maiz, B. McIntyre, P. Moon, J. Neirynck, S. Pae, C. Parker, D. Parsons, C. Prasad, L. Pipes, M. Prince, P. Ranade, T. Reynolds, J. Sandford, L. Shifren, J. Sebastian, J. Seiple, D. Simon, S. Sivakumar, P. Smith, C. Thomas, T. T roeger, P. Vandervoorn, S. Williams, and K. Zawadzki, "A 45 nm logic technology with high-k+metal gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100% Pb-free packaging", in IEDM Tech. Dig., pp.247-250, 2007.
    [74] C. H. Jan, M. Agostinelli, M. Buehler, Z. P. Chen, S. J. Choi, G. Curello, H. Deshpande, S. Gannavaram, W. Hafez, U. Jalan, M. Kang, P. Kolar, K. Komeyli, B. Landau, A. Lake, N. Lazo, S. H. Lee, T. Leo, J. Lin, N. Lindert, S. Ma, L. McGill, C. Meining, A. Paliwal, J. Park, K. Phoa, I. Post, N. Pradhan, M. Prince, A. Rahman, J. Rizk, L. Rockford, G. Sacks, A. Schmitz, H. Tashiro, C. Tsai, P. Vandervoorn, J. Xu, L. Yang, J. Y. Yeh, J. Yip, K. Zhang, Y. Zhang, and P. Bai, “A 32 nm SoC platform technology with 2nd generation high-k/metal gate transistors optimized for ultra low power, high performance, and high density product applications,” in IEDM Tech. Dig., pp. 647–650, 2009.
    [75] P. Packan, S. Akbar, M. Armstrong, D. Bergstrom, M. Brazier, H. Deshpande, K. Dev, G. Ding, T. Ghani, O. Golonzka, W. Han, J. He, R. Heussner, R. James, J. Jopling, C. Kenyon, S. H. Lee, M. Liu, S. Lodha, B. Mattis, A. Murthy, L. Neiberg, J. Neirynck, S. Pae, C. Parker, L. Pipes, J. Sebastian, J. Seiple, B. Sell, A. Sharma, S. Sivakumar, B. Song, A. St. Amour, K. Tone, T. Troeger, C. Weber, K. Zhang, Y. Luo, and S. Natarajan, “High performance 32 nm logic technology featuring 2nd generation high-k + metal gate transistors,” in IEDM Tech. Dig., pp. 659–662, 2009.
    [76] Z. Essa, C. Gaumer, A. Pakfar, M. Gros-Jean, M. Juhel, F. Panciera, P. Boulenc, C. Tavernier and F. Cristiano, “Evaluation and modeling of lanthanum diffusion in TiN/La2O3/HfSiON/SiO2/Si high-k stacks,” in Applied Physics Letters, pp. 182901 - 182901-5, 2012.
    [77] S. I. Ohmi and Y. Nakano, “Investigation of PDA Process to Improve Electrical Characteristics of HfOxNy High-k Dielectric Formed by ECR Plasma Oxidation of HfN,” in ISSM, pp. 1-4, 2007.
    [78] W. Weinreich, K. Seidel, J. Sundqvist, M. Czernohorsky, and P. Kucher, “Effect of different PDAs and a PMA on the electrical performance of TiN/ZAZ/TiN MIM capacitors,” in Semiconductor Conference Dresden-Grenoble (ISCDG), pp. 227-230, 2012.
    [79] A. Veloso, G. Boccardi, L. Å. Ragnarsson, Y. Higuchi, J. W. Lee, E. Simoen, Ph. J. Roussel, M. J. Cho, S. A. Chew, T. Schram, H. Dekkers, A. Van Ammel, T. Witters, S. Brus, A. Dangol, V. Paraschiv, E. Vecchio, X. Shi, F. Sebaai, K. Kellens, N. Heylen, K. Devriendt, O. Richard, H. Bender, T. Chiarella, H. Arimura, A. Thean, and N. Horiguchi, “Highly Scalable Effective Work Function Engineering Approach for Multi-VT Modulation of Planar and FinFET-based RMG High-k Last Devices for (Sub-) 22nm Nodes,” in Proc. VLSI Technol. Dig., pp. 194-195, 2013.
    [80] H. Z. Hong, K. Shu, C. Liao, C. H. Fu, C. C. Li, Y. Y. Hsu, and T. K. Wang, “A 0.7 nm EOT and Low Gate Leakage Current for MOS Device with Ti/HfO2/Hf Higher-k Gate Dielectric,” ISDRS, College Park, MD, USA, pp. 1-2, 2011.
    [81] Y. Q. Chang and W. E. Fu, “Layer Structure Variation of Thermal-Processed Thin HfO2 Films Using X-ray Reflectivity and X-ray Photoelectron Spectroscopy,” in IEEE NANO, IEEE Conference, pp. 541-546, 2011.
    [82] K. S. Yew, D. S. Ang, and L. J. Tang, “A New Method for Enhancing High-k/Metal-Gate Stack Performance and Reliability for High-k Last Integration,” in IEEE ELECTRON DEVICE LETTERS,, vol. 34, no. 2, pp. 295-297, 2013.
    [83] Y. T. Chen, S. I. Fu, W. T. Chiang, C. T. Lin, S. H. Tsai, S. W. Wang, and S. J. Chang, “Chemical oxide interfacial layer for the high-k-last/gate-last integration scheme,” in IEEE Electron Dev. Lett., vol. 33, pp. 946-948, 2012.
    [84] Y. T. Chen, S. I. Fu, C. T. Lin, W. T. Chiang, S. J. Chang, M. S. Lin, and J. S. Jeng,“Effects of postdeposition annealing on a high-k-last/gate-last integration scheme for 20 nm nMOS and pMOS,” in J. Vac. Sci. Technol. B, vol. 31, 020604, 2013.
    [85] K. Kim, and K., Yong, “Physical and electrical characterizations of ultrathin Si-rich Hf-silicate film and Hf-silicate/SiO2 bilayer deposited by atomic layer chemical vapor deposition,” in Journal of Applied Physics, pp. 044106 - 044106-5, 2006.
    [86] K. H. Kyeom, H. S. Jung, J. H. Jang, Park Jinho, Park Tae-Joo, Lee Seok-Hee, Hwang Cheol-Seong, “Dependence of optimized annealing temperature for tetragonal phase formation on the Si concentration of atomic-layer-deposited Hf-silicate film,” in Journal of Applied Physics, pp. 114107 - 114107-6, 2011.
    [87] M. H. Cho, K. B. Chung and D. H. Ko, “Change in phase separation and electronic structure of nitrided Hf-silicate films as a function of composition and post-nitridation anneal,” in Applied Physics Letters, vol. 89, no. 14, pp. 142908 - 142908-3, 2006.
    [88] L. Zhang, S. Y. Terauchi, Y. Azuma, and T. Fujimoto, in Interdiffusion studies for HfO2/Si. London, U.K.: IOP Publ. Ltd., pp. 1-5, 2007.
    [89] D. Y. Cho, K. S. Park, B. H. Choi, S. J. Oh, Y. J. Chang, D. H. Kim, T. W. Noh, R. Jung, J. C. Lee, and S. D. Bu, “Control of silicidation HfO2/Si(100) interfaces,” in Appl. Phys. Lett., vol. 86, no. 4, pp. 041913-1– 041913-3, Jan. 2005.
    [90] K. B. Chung, C. N. Whang, M. H. Cho, C. J. Yi and D. H. Ko, “Suppression of phase separation in Hf-silicate films using NH3 annealing treatment,” in Applied Physics Letters, vol. 88, no. 8, pp. 081903 - 081903-3, 2006.
    [91] Y. Hoshino, Y. Kido, K. Yamamoto, S. Hayashi, and M. Niwa, “Characterization and control of the HfO2/Si (001) interfaces,” in Appl. Phys. Lett., vol. 81, no. 14, pp. 2650–2652, Sep. 2002.
    [92] O. Renault, D. Samour, J. F. Damlencourt, D. Blin, F. Martin, S. Marthon, N. T. Barrett, and P. Besson, “HfO2/SiO2 interface chemistry studied by synchrotron radiation x-ray photoelectron spectroscopy,” in Appl. Phys. Lett., vol. 81, no. 19, pp. 3627–3629, Nov. 2002.
    [93] S. Kamiyama, M. Takayoshi, Y. Nara and A. Tsunetoshi, “Electrical properties of 0.5 nm thick Hf-silicate top-layer/HfO2 gate dielectrics by atomic layer deposition,” in Appl. Phys. Lett., vol. 86, no. 22, pp. 222904 - 222904-3, 2005.
    [94] S. Y. Son, J. H. Jang, P. Kumar, R. K. Singh, J. H. Yuh, H. Cho and C. J. Kang,“Study of interface degradation of Hf-silicate gate dielectrics during thermal nitridation process,” in Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 27, no. 1, pp. 71 - 75, 2009.
    [95] K. B. Chung, M. -H. Cho, U. Hwang, H. J. Kang, D. C. Suh, H. C. Sohn, D. -H. Ko, S. H. Kim and H.T. Jeon, “Effects of postnitridation annealing on band gap and band offsets of nitrided Hf-silicate films,” in Appl. Phys. Lett., vol. 92, no. 2, pp. 022907 - 022907-3, 2008.
    [96] M. C. Wang, C. K. Du, M. R. Peng, S. J. Wang, S. Y. Chen, C. H. Liu, O. Cheng, LS Huang and Shih Ching Lee, “Trend of Subthreshold Swing with DPN Process for 28nm N/PMOSFETs,” in The 2nd International Symposium on Next-Generation Electronics (ISNE), pp. 389 - 392, 2013.
    [97] R. Iijima and M. Takayanagi, “Experimental and theoretical analysis of factors causing asymmetrical temperature dependence of Vt in High-k Metal gate CMOS with capped High-k techniques,” in International Electron Devices Meeting,IEDM, pp. 1-4, 2008.
    [98] S. K. Gupta and S. Baishya, “Effect of High-k Gate Materials on Analog and RF Performance of Double Metal Double Gate (DMDG) MOSFETs,” in IEEE INDIA Conference (INDICON), pp. 1-6, 2013.
    [99] X. Yuan, T. Shimizu, U. Mahalingam, J. S. Brown, K. Habib, D. G. Tekleab, T. C. Su, S. Satadru, C. M. Olsen, H. Lee, L. H. Pan, T. B. Hook, J.-P. Han, J.-E. Park, M.-H. Na and K. Rim, “Transistor mismatch in 32 nm high-k metal-gate process,” in ELECTRONICS LETTER, vol. 46, no. 10, pp. 708 - 709, 2010.
    [100] G. Gerosa, M., S. Curtis, detail loss down M. D’Addeo, Bo Jiang, Belliappa Kuttanna, Feroze Merchant, Binta Patel, Mohammed H. Taufique, and Haytham Samarchi, “A Sub-2 W Low Power IA Processor for Mobile Internet Devices in 45 nm High-k Metal Gate CMOS,” in IEEE JOURNAL OF SOLID-STATE CIRCUITS, vol. 44, no. 1, pp. 73 - 82, 2009.
    [101] Y. W. Li, C. Ornelas, H. S. Kim, H. Lakdawala, A. Ravi and K. Soumyanath, “A Reconfigurable Distributed All-Digital Clock Generator Core With SSC and Skew Correction in 22nm High-k Tri-Gate LP CM,” in IEEE International Solid-State Circuits Conference (ISSCC), pp. 70 - 72, 2012.
    [102] M. Goto, S. Kawanaka, S. Inumiya, N. Kusunoki, M. Saitoh, K. Tatsumura, A. Kinoshita, S. Inaba and Y. Toyoshima, “The study of Mobility-Tinv Trade-off in Deeply Scaled High-k / Metal Gate Devices and Scaling Design Guideline for 22-nm node Generation,” in VLSI Tech. Dig., pp. 214-215, 2009.
    [103] A. Mercha, G. Van der Plas, V. Moroz, I. De Wolf, P. Asimakopoulos, N. Minas, S. Domae, D. Perry, M. Choi, A. Redolfi, C. Okoro, Y. Yang, J. Van Olmen, S. Thangaraju, D. Sabuncuoglu Tezcan, P. Soussan, J. H. Cho, A. Yakovlev, P. Marchal, Y. Travaly, E. Beyne, S. Biesemans and B. Swinnen, “Comprehensive Analysis of the Impact of Single and Arrays of Through Silicon Vias Induced Stress on High-k / Metal Gate CMOS Performance,” in International Electron Devices Meeting,IEDM, pp. 2.2.1 - 2.2.4, 2010.
    [104] S. Monaghan and I. M. Povey, “Scalable high-k metal-insulator-metal capacitors with low leakage, high breakdown fields and improved voltage linearity,” in ELECTRONICS LETTER, vol. 48, no. 4, pp. 230 - 232, 2012.
    [105] S. Inamoto, J. Yamasaki, E. Okunishi, K. Kakushima, H. Iwai and N. Tanaka, “Annealing effects on a high-k lanthanum oxide film on Si (001) analyzed by aberration-corrected transmission electron microscopy/scanning transmission electron microscopy and electron energy loss spectroscopy,” in Journal of Applied Physics, vol. 107, no. 12, pp. 124510 - 124510-10, 2010.
    [106] Jang, Jiyoung, T. J. Park, Kwon, J. H. Jang, Jae Hyuck, S. Hwang, Cheol, Kim, Miyoung, “Electron energy-loss spectroscopy analysis of HfO2 dielectric films on strained and relaxed SiGe/Si substrates,” in Appl. Phys. Lett., vol. 92, no. 23, pp. 232906 - 232906-3, 2008.
    [107] T. Yamaguchi, R. Iijima, T. Ino, Akira Nishiyama, Hideki Satake and Noburu Fukushima, “Additional Scattering Effects for Mobility Degradation in Hf-silicate Gate MISFETs,” in in International Electron Devices Meeting,IEDM, pp. 621-624, 2002.
    [108] F. Crupi, T. Kauerauf, R. Degraeve, L. Pantisano, and G. Groeseneken, “A Novel Methodology for Sensing the Breakdown Location and Its Application to the Reliability Study of Ultrathin Hf-Silicate Gate Dielectrics,” in IEEE TRANSACTIONS ON ELECTRON DEVICES, vol. 52, no. 8, pp. 1759 - 1765, 2005.
    [109] H. S. Jung, H. K. Kim, S. Y. Lee, and Nae-in Lee, Tae Joo Park and Cheol Seong Hwang, “Thermally robust atomic layer deposited ZrO2 gate dielectric films upon the post-deposition annealing,” in European Solid-State Device Research Conference, ESSDERC, pp. 71-74, 2011.
    [110] T. J. Park, K. J. Hwan, Jang Jae Hyuck, Na Kwang Duk, Seong Hwang Cheol and Won Jeong Yeon, “Effects of surface treatments using O3 and NH3 on electrical properties and chemical structures of high-k HfO2 dielectric films on strained Si1-xGex/Si substrates,” in Journal of Applied Physics, vol. 103, no. 8, pp. 084117 - 084117-11, 2008.
    [111] Tae Joo Park, Kim Jeong Hwan, Jang Jae Hyuk, Seo Minha, Seong Hwang Cheol and Won Jeong Yeon, “Improvements in the electrical properties of high-k HfO2 dielectric films on Si1-xGex substrates by postdeposition annealing,” in Appl. Phys. Lett., vol. 90, no. 4, pp. 042915 - 042915-3, 2007.
    [112] C. K. Chiang, J. C. Chang, G. P. Lin, Y. L. Chin, W. J. Chen, C. Y. Yang, C. H. Wei, M. Chan, C. L. Yang , and J. Y. Wu, “Device Scalability and Electrical Properties of ALD HfZrO2 with Optimum Plasma Nitridation Technique : A Study of Depth Profiles by Maximum Entropy Finite Element Model and Gate Stack sub-Band Gap Extraction,” in VLSI Tech. Dig., pp. 1 - 2, 2013.
    [113] Bhatt Piyush, Chaudhuri Krishnakali, Kothari Shraddha, Nainani Aneesh and Lodha Saurabh, “Germanium oxynitride gate interlayer dielectric formed on Ge(100) using decoupled plasma nitridation,” in Appl. Phys. Lett., vol. 103, no. 17, pp. 296-301, 2013.
    [114] H. J. Cho, H. Y. Yu, L. A. Ragnarsson, V. S. Chang, T. Schram, B. J. O'Sullivan, S. Kubicek, R. Mitsuhashi, A. Akheyar, S. Van Elshocht, T. Witters, A. Delabie, C. Adelmann, E. Rohr, R. Singanamalla, S. -Z. Chang, J. Swerts, P. Lehnen, S. De Gendt, P. P. Absil, and S. Biesemans, “Nitrogen Profile and Dielectric Cap Layer (Al2O3, Dy2O3, La2O3) Engineering on Hf-Silicate,” in International Conference on IC Design and Technology (ICICDT), pp. 1 - 3, 2007.
    [115] K. B. Chung, M. H. Cho, U. Hwang, H. J. Kang, D. C. Suh, H. C. Sohn, D. -H. Ko, S. H. Kim and H. T. Jeon,, “Effects of postnitridation annealing on band gap and band offsets of nitrided Hf-silicate films,” in Applied Physics Letters, vol. 92, no. 2, pp. 022907 - 022907-3, 2008.
    [116] C. T. Chan, C. J. TangandT. Wang, Howard C.-H. Wang, and Denny D. Tang, “Characteristics and physical mechanisms of positive bias and temperature stress-induced drain current degradation in HfSiON nMOSFETs,” in IEEE TRANSACTIONS ON ELECTRON DEVICES, vol. 53, no. 6, pp. 1340 - 1346, 2006.
    [117] T. Wang, C. T. Chan, C. J. Tang, C. W. Tsai, H. C. H. Wang, M. H. Chi, and Denny D. Tang, “A novel transient characterization technique to investigate trap properties in HfSiON gate dielectric MOSFETs-from single electron emission to PBTI recovery transient,” in IEEE TRANSACTIONS ON ELECTRON DEVICES, vol. 53, no. 5, pp. 1073 - 1079, 2006.
    [118] M. Sato, N.Umezawa, N. Mise, S. Kamiyama, M. Kadoshima, T. Morooka, T.Adachi, T.Chikyow, K. Yamabe, K. Shiraishi, S. Miyazaki, A. Uedono, K. Yamada, T. Aoyama, T. Eimori, Y. Nara, and Y. Ohji, “Physical understanding of the reliability improvement of dual high-k CMOSFETs with the fifth element incorporation into HfSiON gate dielectrics,” in VLSI Tech. Dig., pp. 66 - 67, 2008.
    [119] Vladimir Djara, Karim Cherkaoui, Kevin Thomas, Emanuele Pelucch i, Dan O’Connell, Liam Floyd and Paul K. Hurley, “Annealing investigations for high-k first n-channel In0.53Ga0.47As MOSFET development,” in Ultimate Integration on Silicon (ULIS), pp. 1-4, 2011.
    [120] P. S. Das and A. Biswas, “Interfacial characterization and reliability issues of high-k gate dielectrics on GaAs substrate for MOSFET applications,” in IEEE/OSA/IAPR International Conference on Infonnatics, Electronics & Vision, pp. 1113 - 1117, 2012.
    [121] P. Y. Lin, T. H. Yang, Y. T. Sung, C. J. Lin, Y. C. King, T. S. Chang, “Self-align nitride based logic NVM in 28nm high-k metal gate CMOS technology,” in VLSI Tech. Dig., pp. 1-2, 2013.
    [122] P. L. Grande, M. Vos, D. K. Venkatachalam, S. K. Nandi and R. G. Elliman,, “Determination of thickness and composition of high-k dielectrics using high-energy electrons,” in Applied Physics Letters, vol. 103, no. 7, pp. 071911 - 071911-4, 2013.
    [123] L. Å. Ragnarsson and C. Adelmann, Y. Higuchi, K. Opsomer, A. Veloso, S. Aik Chew, E. Röhr, E. Vecchio, X. Shi, K. Devriendt, F. Sebaai, T. Kauerauf, Malgorzata Anna Pawlak, T. Schram, Sven Van Elshocht, Naoto Horiguchi, and Aaron Thean, “Implementing cubic-phase HfO2 with κ-value ∼ 30 in low-VT replacement gate pMOS devices for improved EOT-Scaling and reliability,” in VLSI Technology (VLSIT), pp. 27-28, 2012.
    [124] K. Han, P. F. Hsu, M. Beach and Todd Henry, “Metal gate work function modulation by ion implantation for multiple threshold voltage FinFET devices,” in Ext. Abs. the 13th International Workshop Junction Technology, pp. 104 - 106, 2013.
    [125] K. Akiyama, W. Wang, W. Mizubayashi, M. Ikeda, H. Ota, T. Nabatame and A. Toriumi, “Roles of oxygen vacancy in HfO2 /ultra-thin SiO2 gate stacks- Comprehensive understanding of VFB roll-off -,” in VLSI Technology (VLSIT), pp. 80-81, 2008.
    [126] L. Wu, H. Y. Yu, X. Li, K. L. Pey, J. S. Pan, J. W. Chai, Y. S. Chiu, C. T. Lin, J. H. Xu, H. J. Wann, X. F. Yu, D. Y. Lee, K. Y. Hsu and H. J. Tao, “Thermal stability of TiN metal gate prepared by atomic layer deposition or physical vapor deposition on HfO2 high-K dielectric,” in Applied Physics Letters, vol. 96, no. 11, pp. 113510 - 113510-3, 2010.
    [127] T. Hosoi, Y. Odake, K. Chikaraishi, H. Arimura, N. K. Tano, T. Shimura, and H. Watanabe, “Oxygen-induced high-k degradation in TiN/HfSiO gate stacks,” in Silicon Nanoelectronics Workshop (SNW), pp. 1-2, 2012.
    [128] L. Wu, H. Y. Yu, X. Li, K. L. Pey, K. Y. Hsu, H. J. Tao, Y. S. Chiu, C. T. Lin, J. H. Xu, H. J. Wan, “Investigation of ALD or PVD (Ti-rich vs. N-rich) TiN metal gate thermal stability on HfO2 high-k,” in Proc. VLSI Technol. Dig., pp. 90-91, 2010.
    [129] M. Kadoshima, T. Matsuki, S. Miyazaki, K. Shiraishi, T. Chikyo, K. Yamada, T. Aoyama, Y. Nara, and Y. Ohji, “Effective-work-function control by varying the TiN thickness in poly-Si/TiN gate electrodes for scaled high-k CMOSFETs,” in IEEE Electron Dev. Lett., vol. 30, no. 5, pp. 466–468, May 2009.
    [130] C. L. Chen and Y. C. King, “TiN Thickness Impact on BTI Performance,” in IEEE ELECTRON DEVICE LETTERS, vol. 32, no. 6, pp. 707 - 709, 2011.
    [131] E. Erben, K. Hempel, D. H. Triyoso, H. Zhang, J. Metzger, R. Binder, C. Prindle, R. Carter and A. Wei, “Impact of process parameters of TiN cap formation on threshold voltage and gate leakage in HKMG last integration,” in Semiconductor Conference Dresden-Grenoble (ISCDG), pp. 173 - 174, 2012.

    無法下載圖示 校內:2024-12-31公開
    校外:不公開
    電子論文尚未授權公開,紙本請查館藏目錄
    QR CODE