簡易檢索 / 詳目顯示

研究生: 林浩宇
Lin, Hau-Yu
論文名稱: 研究基板應變矽技術和三五族化合物半導體以實現高性能電晶體元件
The Study of Substrate-Strained Silicon Technology and III-V Compound Semiconductor for Realizing High Performance Transistors
指導教授: 張守進
Chang, Shoou-Jinn
共同指導教授: 吳三連
Wu, San-Lein
學位類別: 博士
Doctor
系所名稱: 電機資訊學院 - 微電子工程研究所
Institute of Microelectronics
論文出版年: 2011
畢業學年度: 99
語文別: 英文
論文頁數: 121
中文關鍵詞: 雙軸應變力互補式金氧半場效電晶體浮層源/汲極結構化學機械式研磨閃爍雜訊砷化銦金氧半電容異質結構砷化銦鎵金半場效電晶體嵌入式鍺源/汲極
外文關鍵詞: Biaxial Strain, CMOSFET, Raised S/D, Chemical mechanical polishing, 1/f noise, InAs MOSCAP, Heterostructure, InGaAs MESFET, Embedded-Ge S/D
相關次數: 點閱:123下載:4
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  •   本論文中,我們主要研究雙軸基板應變矽技術於n型金氧半場效電晶體直流特性與閃爍雜訊響應的分析,這裡包括了有使用浮層源/汲極結構以及利用化學機械式研磨矽鍺虛擬基板以提高其品質。我們也成功的製作了高介電/金屬閘極-鈦/二氧化鉿/(三氧化二鋁)[Ti/HfO2/(Al2O3)]於不同重組表面(reconstructed-surface)的n型砷化銦金氧半電容與n型三五族金半場效電晶體搭載四族材料的異質介面源/汲極。
      首先,浮層源/汲極結構用於n型應變矽金氧半場效電晶體的效用我們將從不同閘極尺寸的電性分析來探討其優點。此結構的應變矽元件在大尺寸(W/L=10um/10um)的時候提升了額外的11%的電流驅動力,而於小尺寸元件(W/L=0.15um/0.15um)的時候提升了的24%的源極電流,從這裡我們可以發現明顯的尺寸負載效應於沒有使用浮層源/汲極結構的應變矽元件,這主要是由於在形成鈷矽化合物時會讓擴散於矽鍺虛擬基板的鍺原子聚集析出於源/汲極區域,這也是導致源/汲極串連阻值提高的原因。
      接著,由於SiGe緩衝層與基材的堆積差排引起垂直晶格的釋放所形成了交叉排線的表面形狀會造成表面的不平整,進而影響應變矽通道與二氧化矽閘極氧化層間的平整度,因此我們利用化學機械式研磨的技術來改善SiGe緩衝層表面的的平整,來改善閘極氧化層與通道介面的品質。藉由此介面品質的提升,3.5%的電流增益與大約30倍閃爍雜訊的改善,更甚的是此優點在於大電壓的操作條件下會更加明顯,這也間接地使用了電性來證實了通道表面品質的改進。
      我們也以鈦/二氧化鉿/(三氧化二鋁)於不同重組表面的n型砷化銦金氧半電容來探討了高介電材料與砷化銦介面的反應。(1×1)的砷化銦表面元件展現了低頻率相依的電容值離散特性,相較於全部相同電性離子的(2×4)的砷化銦表面,等量陽離子與陰離子的(1×1)的砷化銦表面的確有助於抑制氧化反應的進行,進而改善了電容特性。
      最後,我們完成的第一個n型三五族通道搭載四族材料異質介面源/汲極的金半場效電晶體。利用超高真空化學氣相沉積系統我們成功地達成選擇性磊晶成長鍺材料於砷化鎵基板;為了增加電流驅動力,我們設計了額外10奈米的的n型砷化銦鎵於90奈米的n型砷化鎵通道上形成了雙通道的結構,在電流特性上,開/關比達到1000倍;而為了提升閘極金半蕭基能障,寬能隙晶格匹配的磷化銦鎵也用以當作金半介面的介電層,實驗結果在閘極漏電上,此結構能達到與一般的鈦/砷化鎵元件同等的漏電級數。

      In this dissertation, the biaxial substrate-strained silicon technology including the employment of raised source/drain structure and improved SiGe virtual substrate quality by the treatment of chemical mechanical polishing process on the characteristics of n-type MOSFETs have been systematically studied by using DC measurement and flicker noise response. We have also successfully fabricated the metal-gate/high-dielectric Ti/HfO2/(Al2O3) on different reconstructed-surface n-type InAs MOSCAPs and the first demonstration of n-type III-V metal-semiconductor field-effect transistors featuring with IV group material hetero-junction source/drain.
      Firstly, the electrical characteristics for n-channel strained-Si metal-oxide-semiconductor field-effect-transistors combining raised source/drain structure and cobalt silicide have been studied with various gate pattern sizes. The strained-Si device with raised source/drain structure provides an additional driving current enhancement (up to 12%) for large-area devices at W/L = 10 um/10um. Further improvement of 24% for device areas down to W/L = 0.15um/0.15um indicates that obvious pattern loading effects exist in strained-Si without raised S/D case, which mainly due to the formation of up-diffused germanium agglomerated at source/drain region, which is also responsible for the increased source/drain series resistance.
      Secondarily, utilizing chemical mechanical polishing procedure to reduce SiO2/Si roughness induced from cross-hatching of SiGe virtual substrate in strained-Si nMOSFETs has been investigated. Due to the smoother SiO2/Si interface, an additional 3.5% driving current and 11% transconductance enhancements are found in strained-Si devices with a gate length = 0.5um on CMP-treated SiGe virtual substrate. Additional, strained-Si devices with CMP process exhibit the better flicker noise performance, 1.5 orders of lower flicker noise over 1-100 Hz was obtained for strained-Si devices with CMP introduction. And we observed that carrier number fluctuation, just not the unified model, is more suitable to interpret the mechanism of flicker noise in strained-Si devices with CMP process. Under larger gate overdrive voltage, where carriers are almost transported nearly to surface, the improvement becomes more obvious indicating that the CMP process provides a smoother SiO2/strained-Si channel interface for biaxial substrate-strained Si device.
      Thirdly, we report the characteristics of high-dielectric HfO2/(Al2O3) on different reconstructed-surface n-type InAs MOSCAPs. The HfO2/Al2O3 gate dielectric films deposited on InAs were used to study the interfacial reaction. Compared with (2×4)-surface sample, improvements of capacitance-voltage characteristics for (1×1)-surface sample with lower frequency-dependent capacitance dispersion and higher inversion capacitance are attributed to lower indium composition and less arsenic oxide at Al2O3/InAs interface, as confirmed by x-ray photoelectron spectroscopy. It indicates that the equivalent dangling bond of cations and anions on (1×1)-surface sample tends to avoid the oxidization process and become less pinning.
      Eventually, the demonstration of n-type III-V metal-semiconductor field-effect transistors with IV group material hetero-junction source/drain technology was reported for the first time. A selective epitaxial growth of germanium in the recessed GaAs source/drain regions is successfully developed by using ultra-high vacuum chemical vapor deposition system. The dual channel structure included an additional 10-nm higher mobility n-In0.2Ga0.8As layer on n-GaAs channel is introduced to further improve the device performance. The n-MESFET combined embedded-Ge S/D with In0.2Ga0.8As/GaAs channel exhibits the good transfer properties with drain current on/off ratio of ~103. Due to the small barrier height of Ti/In0.2Ga0.8As schottky contact, a lattice-matched wide bandgap In0.49Ga0.51P dielectric layer is also integrated into the device architecture in order to build a higher electron schottky barrier height for gate leakage current reduction. Ti/InGaP/n-In0.2Ga0.8As schottky diode shows a comparable leakage level to Ti/n-GaAs with 2×10-2 A/cm^2 at gate voltage of -2.0 V.

    Abstract (Chinese) i Abstract (English) iii Acknowledgement vii Contents ix Table Captions xiii Figure Captions xv Chapter 1 Introduction 1 1.1 Background and Motivation 1 1.2 Organization of the Dissertation 4 References 7 Chapter 2 Substrate Strained-Si Technology and Related Process Techniques 12 2.1 Characteristics of Strained-Si(Ge) Heterostructures 12 2.1.1 Properties of Si/Si1-xGex Epitaxial Layer 12 2.1.2 Influence of Biaxial Strain on Valence Band to Alter Hole Mobility 14 2.1.3 Influence of Biaxial Strain on Conduction Band to Alter Electron Mobility 15 2.1.4 Strained SiGe on Si: Type-I Band Alignment 16 2.1.5 Strained Si on relaxed SiGe layer: Type-II Band Alignment 17 2.2 Atomic Layer Deposition System 18 2.3 Ultra-High Vacuum Chemical Vapor Deposition System 20 References 30 Chapter 3 Substrate-strained Silicon nMOSFETs 34 3.1 Introduction 34 3.1.1 The Correlating between Strain-Induced Mobility Enhancement and Source/Drain Resistance 34 3.1.2 The Application of Cobalt Silicide in Substrate-strained Silicon Device 35 3.2 Experimental Procedure 36 3.2.1 The Biaxial Tensile Strained-Si Device Structure 36 3.2.2 The Strained-Si nMOSFETs Process Flow 37 3.3 The performance of Strained-Si nMOSFET with a RSD structure 38 3.3.1 The Modulation of Silicide Morphology in Substrate-Strained Si Device by Using RSD Application 38 3.3.2 The Electrical Characteristics of Strained-Si Device with RSD Application 39 3.4 Summary 40 References 51 Chapter 4 Impact of Chemical Mechanical Polishing Application on SiGe Virtual Substrate of Strained-Si nMOSFETs 54 4.1 The Influence of SiGe Virtual Substrate Quality on DC and Noise Characteristics 54 4.1.1 The Correlation between Strained-Si Mobility and Surface Roughness from SiGe Virtual Substrate 54 4.1.2 The Impact of Low Frequency Noise in Strained Si Device 55 4.2 Experiment Procedure 56 4.3 Impact of Chemical Mechanical Polishing on DC and 1/f noise Characteristics of Strained-Si nMOSFETs on SiGe Virtual Substrate 57 4.3.1 The Improvement in Electron Mobility of Strained-Si nMOSFETs with The Application of CMP 57 4.3.2 The Output Performance Enhancement Based on CMP Process for Strained- Si nMOSFETs 58 4.3.3 The Flicker Noise Presentation in Strained-Si nMOSFETs with CMP Application 59 4.4 Summary 61 References 76 Chapter 5 Atomic-Layer-Deposited HfO2/Al2O3 Dielectric Films on InAs Substrates 79 5.1 Device Scaling Accompanying Gate Leakage 79 5.1.1 Motivation 79 5.1.2 The Combination of High-/Metal Gate with High-Mobility Material Channel 80 5.2 Experiment Procedure 81 5.2.1 Wafer Preparation 81 5.2.2 The process of Atomic-Layer-Deposited HfO2/Al2O3 82 5.3 Influences of Surface Reconstruction on the Atomic-Layer-Deposited HfO2/Al2O3/n-InAs Metal-Oxide-Semiconductor Capacitors 83 5.3.1 Electrical Characteristics of HfO2/Al2O3/InAs Structure 83 5.3.2 The Chemistry Analysis of Reconstructed InAs Surface 84 5.4 Summary 85 References 92 Chapter 6 III-V Metal-Semiconductor Field-Effect Transistor with IV Group Material Source and Drain 97 6.1 The Trend for Introducing High Mobility Channel 97 6.1.1 The Progressive Demand with Device Scaling 97 6.1.2 The Integration of III-V on Si with Si-based Transistor-Like Technique 97 6.2 Experimental Procedures 98 6.2.1 Device Epitaxial Heterostructure 98 6.2.2 The Fabrication of InGaAs/GaAs MESFET with Ge Source and Drain 99 6.3 Result and Discussion 100 6.3.1 Selective Epitaxy of Crystalline Germanium on GaAs 100 6.3.2 The Characteristic of III-V MESFET with IV Group Material Source and Drain 100 6.4 Summary 102 References 112 Chapter 7 Conclusions and Suggestions for Future Prospect 117 7.1 Conclusions 117 7.2 Suggestions for Future Prospect 119 References 121

    [1.1]D. Kahng and M. M. Atalla, “Silicon-silicon dioxide field induced surface devices,” in Proc. IRE-AIEE Solid-State Device Res. Conf., Pittsburgh, PA, 1960.
    [1.2]G. E. Moore, “Cramming more components onto integrated circuits,” Electron., vol. 38, pp. 114–117, 1965.
    [1.3]D. J. Frank, R. H. Dennard, E. Nowak, P. M. Solomon, Y. Taur, and H. S. Philip Wong, “Device scaling limits of Si MOSFETs and their application dependencies,” in Proc. of the IEEE, vol. 89, no. 3, pp. 259-288, Mar. 2001.
    [1.4]K. Roy, S. Mukhopadhyay, and H. Mahmoodi-Meimand, “Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits,” in Proc. of the IEEE, vol. 91, pp. 305-327, Feb. 2003.
    [1.5]H. Shang, M. M. Frank, E. P. Gusev, J. O. Chu, S. W. Bedell, K. W. Guarini, and M. Leong, “Germanium channel MOSFETs: opportunities and challenges,” IBM J. Res. and Dev., vol. 50, pp. 377-386, Jul./Sep. 2006.
    [1.6]J. S. Goo, Q. Xiang, Y. Takamura, F. Arasnia, E. N. Paton, P. Besser, J. Pan, and M. R. Lin, “Band offset induced threshold variation in strained-Si nMOSFETs,” IEEE Electron Device Lett., vol. 24, no. 9, pp. 568-570, Sep. 2003.
    [1.7]J. S. Rieh, D. Greenberg, A. Stricker, and G. Freeman, “Scaling of SiGe heterojunction bipolar transistors,” in Proc. of the IEEE, vol. 93, no. 9, pp. 1522-1538, Sep. 2005.
    [1.8]C.-H. Ge, C.-C. Lin, C.-H. Ko, C.-C. Huang, Y.-C. Huang, B.-W. Chan, B.-C. Perng, C.-C. Sheu, P.-Y. Tsai, L.-G. Yao, C.-L. Wu, T.-L. Lee, C.-J. Chen, C.-T. Wang, S.-C. Lin, Y.-C. Yeo and C. Hu, “Process-Strained Si (PSS) CMOS Technology Featuring 3D Strain Engineering,” Tech. Dig. - Int. Electron Devices Meet., 2003, pp. 73-76.
    [1.9]R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros, and M. Metz, “High-κ/metal-gate stack and its MOSFET characteristics,” IEEE Electron Device Lett., vol. 25, no. 6, pp. 408-410, Jun. 2004.
    [1.10]C.-C. Yeh, C.-S. Chang, H.-N. Lin, W.-H. Tseng, L.-S. Lai, T.-H. Perng, T.-L. Lee, C.-Y. Chang, L.-G. Yao, C.-C. Chen, T.-M. Kuan, J. J. Xu, C.-C. Ho, T.-C. Chen, S.-S. Lin, H.-J. Tao, M. Cao, C.-H. Chang, T.-C. Ko, N.-K. Chen, S.-C. Chen, C.-P. Lin, H.-C. Lin, C.-Y. Chan, H.-T. Lin, S.-T. Yang, J.-C. Sheu, C.-Y. Fu, S.-T. Hung, F. Yuan, M.-F. Shieh, C.-F. Hu, and C. H. Wann, “A low operating power FinFET transistor module featuring scaled gate stack and strain engineering for 32/28nm SoC technology,” Tech. Dig. - Int. Electron Devices Meet., 2010, pp. 772-775.
    [1.11]C. H. Lee, T. Nishimura, N. Saido, K. Nagashio, K. Kita and A. Toriumi, “Record-high Electron Mobility in Ge n-MOSFETs exceeding Si Universality,” Tech. Dig. - Int. Electron Devices Meet., 2009, pp. 457-460.
    [1.12]M. Radosavljevic, B. Chu-Kung, S. Corcoran, G. Dewey, M. K. Hudait, J. M. Fastenau, J. Kavalieros, W. K. Liu, D. Lubyshev, M. Metz, K. Millard, N. Mukherjee, W. Rachmady, U. Shah, and R. Chau, “Advanced High-K Gate Dielectric for High-Performance Short-Channel In0.7Ga0.3As Quantum Well Field Effect Transistors on Silicon Substrate for Low Power Logic Applications,” Tech. Dig. - Int. Electron Devices Meet., 2009, pp. 319-322.
    [1.13]International Technology Roadmap for Semiconductors (ITRS), Semiconductor Ind. Assoc., 2010.
    [1.14]L. Gomez, C. N. Chléirigh, P. Hashemi, and J. L. Hoyt, “Enhanced Hole Mobility in High Ge Content Asymmetrically Strained-SiGe p-MOSFETs,” IEEE Electron Device Lett., vol. 31, no. 8, pp. 782-784, Aug. 2010.
    [1.15]A. Shimizu, K. Hachimine, N. Ohki, H. Ohta, M. Koguchi, Y. Nonaka, H. Sato, and F. Ootsuka, “Local Mechanical-Stress Control (LMC) : A New Technique for CMOS-Performance Enhancement1,” Tech. Dig. - Int. Electron Devices Meet., 2001, pp. 433-436.
    [1.16]N. Yasutake, A. Azuma, T. Ishida, K. Ohuchi, N. Aoki, N. Kusunoki, S. Mori, I. Mizushima, T. Morooka, S. Kawanaka and Y. Toyoshima, “A high performance pMOSFET with two-step recessed SiGe-S/D structure for 32 nm node and beyond,” Solid-State Electron., vol. 51, pp. 1437-1443, Nov./Dec. 2007.
    [1.17]A. Eiho, T. Sanuki, E. Morifuji, T. Iwamoto, G. Sudo, K. Fukasaku, K. Ota, T. Sawada, O. Fuji, H. Nii, M. Togo, K. Ohno, K. Yoshida, H. Tsuda, T. Ito, Y. Shiozaki, N. Fuji, H. Yamazaki, M. Nakazawa, S. Iwasa, S. Muramatsu, K. Nagaoka, M. Iwai, M. Ikeda, M, Saito, H. Naruse, Y. Enomoto, Kitano, S. Yamada , K. Imai, N. Nagashima, T. Kuwata, F. Matsuoka, “Management of Power and Performance with Stress Memorization Technique for 45nm CMOS,” in VLSI Symp. Tech. Dig., 2007, pp. 218-219.
    [1.18]J. Cai, K. Rim, A. Bryant, K. Jenkins, C. Ouyang, D. Singh, Z. Ren, K. Lee, H. Yin, J. Hergenrother, T. Kanarsky, A. Kumar, X. Wang, S. Bedell, A. Reznicek, H. Hovel, D. Sadana, D. Uriarte, R. Mitchell, J. Ott, D. Mocuta, P. O’Neil, A. Mocuta, E. Leobandung, R. Miller, W. Haensch, and M. Ieong, “Performance comparison and channel length scaling of strained Si FETs on SiGe-on-insulator (SGOI),” Tech. Dig. - Int. Electron Devices Meet., 2004, pp. 165-168.
    [1.19]Y. Q. Wu, Y.-M. Lin, K.A. Jenkins, J.A. Ott, C. Dimitrakopoulos, D.B. Farmer, F. Xia, A. Grill, D.A. Antoniadis and Ph. Avouris, “RF Performance of Short Channel Graphene Field-Effect Transistor,” Tech. Dig. - Int. Electron Devices Meet., 2010, pp. 226-228.
    [1.20]M. S. Fuhrer, B. M. Kim, T. Dürkop, and T. Brintlinger, “High-Mobility Nanotube Transistor Memory,” Nano Lett., vol. 2, pp. 755-759, May. 2002.
    [1.21]M. Radosavljevic, G. Dewey, J. M. Fastenau, J. Kavalieros, R. Kotlyar, B. Chu-Kung, W. K. Liu, D. Lubyshev, M. Metz, K. Millard, N. Mukherjee, L. Pan, R. Pillarisetty, W. Rachmady, U. Shah, and R. Chau, “Non-planar, multi-gate InGaAs quantum well field effect transistors with high-K gate dielectric and ultra-scaled gate-to-drain/gate-to-source separation for low power logic applications,” Tech. Dig. - Int. Electron Devices Meet., 2010, pp. 126-129.
    [1.22]S. M. Sze, and K. K. NG, Physics of Semiconductor Devices, 3rd ed. Hoboken, New Jersey: Wiley, 2007.
    [1.23]S. Takagi, T. Irisawa, T. Tezuka, T. Numata, S. Nakaharai, N. Hirashita, Y. Moriyama, K. Usuda, E. Toyoda, S. Dissanayake, M. Shichijo, R. Nakane, S. Sugahara, M. Takenaka, and N. Sugiyama, “Carrier-Transport-Enhanced Channel CMOS for Improved Power Consumption and Performance,” IEEE Trans. Electron Devices, vol. 55, no. 1, pp. 21-39, Jan. 2008.
    [1.24]M. Levinshtein, S. Rumyantsev, and M. Shur, Handbook series on semiconductor parameters Volume 1: Si, Ge, C (Diamond), GaAs, GaP, GaSb, InAs, InP, InSb, Singapore: World Scientific, 1996.
    [1.25]T. H. Chiang, W. C. Lee, T. D. Lin, D. Lin, K. H. Shiu, J. Kwo, W. E. Wang, W. Tsai, and M. Hong, “Approaching fermi level unpinning in Oxide-In0.2Ga0.8As,” Tech. Dig. - Int. Electron Devices Meet., 2008, pp. 375-378.
    [1.26]H.-L. Lu, L. Sun, S.-J. Ding, M. Xu, D. W. Zhang, and L.-K. Wang, “Characterization of atomic-layer-deposited Al2O3/GaAs interface improved by NH3 plasma pretreatment,” Appl. Phys. Lett., vol. 89, p. 152910, 2006.
    [1.27]M. Zhu, C.-H. Tung, and Y.-C. Yeo, “Aluminum oxynitride interfacial passivation layer for high-permittivity gate dielectric stack on gallium arsenide,” Appl. Phys. Lett., vol. 89, p. 202903, 2006.
    [1.28]X. Li, R. J. W. Hill, P. Longo, M. C. Holland, H. Zhou, S. Tohms, D. S. Macintyre, and I. G. Thayne, J. Vac. Sci. Technol. B 28, pp. C6L1-C6L5, 2010.
    [2.1]S. E. Thompson, R. S. Chau, T. Ghani, K. Mistry, S. Tyagi, and M. T. Bohr, “In Search of “Forever,” Continued Transistor Scaling One New Material at a Time,” IEEE Trans. Semicond. Manuf., vol. 18, no. 1, pp. 26-36, Feb. 2005.
    [2.2]K. Rim et al., “Characteristics and device design of sub-100 nm strained Si N- and PMOSFETs,” in VLSI Symp. Tech. Dig., 2002, pp. 98–99.
    [2.3]K. Rim, J. Welser, J. L. Hoyt, and J. F. Gibbons, “Enhanced hole mobilities in surface-channel strained-Si p-MOSFETs,” Tech. Dig. - Int. Electron Devices Meet., 1995, pp. 517-520.
    [2.4]K. Rim, “Scaling of Strain-induced Mobility Enhancements in Advanced CMOS Technology,” Int. Conf. Solid State Integrated Circuit Technol., 2008, pp. 105-108.
    [2.5]R. People and S. A. Jackson, “Structurally induced states from strain and confinement,” Semicond. Semimet., vol. 32, pp. 119-174, 1990.
    [2.6]R. People, “Physical and applications of GexSi1-x/Si strained-layer heterostructures,” IEEE J. Quantum Electron., vol. QE-22, no. 9, pp. 1696-1986, 1986.
    [2.7]Y. H. Xie, “SiGe field effect transistors,” Mater. Sci. Eng. R., vol. 25, pp. 89-121, May 1999.
    [2.8]D. J. Paul, “Silicon-Germanium Strained Layer Materials in Microelectronics,” Adv. Mater., vol. 11, pp. 191-204, Mar. 1999.
    [2.9]K. Rim, “Strained Si surface channel MOSFETs for high-performance CMOS technology,” ISSCC Digest of Technical Papers, 2001, pp. 116-117.
    [2.10]T. Vogelsang and K. R. Hofmann, “Electron transport in strained Si layers on Si1-xGex substrate,” Appl. Phys. Lett., vol. 63, no. 2, pp. 186-188, Jul. 1993.
    [2.11]S. Takagi, J. L. Hoyt, J. J. Welser, and J. F. Gibbons, “Comparative study of phonon-limited mobility of two-dimensional electrons in strained and unstrained Si metal–oxide–semiconductor field-effect transistors,” Appl. Phys. Lett., vol. 80, no. 3, pp. 1567-1577, Aug. 1996.
    [2.12]H. Miyata, T. Yamada, and D. K. Ferry, “Electron transport properties of a strained Si layer on a relaxed Si1−xGex substrate by Monte Carlo simulation,” Appl. Phys. Lett., vol. 62, no. 21, pp. 2661-2663, May 1993.
    [2.13]Y.-C. Yeo, Q. Lu, T.-J. King, C. Hu, T. Kawashima, M. Oishi, S. Mashiro, and J. Sakai, “Enhanced Performance in Sub-100 nm CMOSFETs using Strained Epitaxial Silicon-Germanium,” in VLSI Symp. Tech. Dig., 2000, pp. 753-756.
    [2.14]R. People, J. C. Bean, D. V. Lang, A. M. Sergent, H. L. Störmer, K. W. Wecht, R. T. Lynch, and K. Baldwin, “Modulation doping in GexSi1−x/Si strained layer heterostructures,” Appl. Phys. Lett., vol. 45, no. 11, pp. 1231-1233, Dec. 1984.
    [2.15]M. M. Rieger and P. Vogl, “Electronic-band parameters in strained Si1-xGex alloys on Si1-yGey substrates,” Phys. Rev. B, vol. 48, pp. 14276-14287, 1993.
    [2.16]G. Abstreiter, H. Bragger, T. Wolf, H. Jorke, and H. J. Herzog, “Strain-Induced Two-Dimensional Electron Gas in Selectively Doped Si/SixGe1-x Superlattices,” Phys. Rev. Lett., vol. 54, pp. 2441-2444, 1985.
    [2.17]S. L. Wu, “SiGe δ-channel field-effect transistors on SIMOX substrates,” Semicond. Sci. Technol., vol. 20, no. 6, pp. 559-562, 2005.
    [2.18]J. Welser, J. L. Hoyt, and J. F. Gibbons, “Electron mobility enhancement in strained-Si n-type metal-oxide-semiconductor field-effect transistors,” IEEE Electron Device Lett., vol. 15, no. 3, pp. 100-102, Mar. 1994.
    [2.19]D. K. Nayak, J. C. S. Woo, J. S. Park, K. L. Wang, and K. P. MacWilliams, “High‐mobility p‐channel metal‐oxide‐semiconductor field‐effect transistor on strained Si,” Appl. Phys. Lett., vol. 62, no. 22, pp. 2853-2855, May 1993.
    [2.20]M. Ahonen, M. Pessa and T. Suntola, “A study of ZnTe films grown on glass substrates using an atomic layer evaporation method,” Thin Solid Films, vol. 65, no. 3, pp. 301-307, Feb 1980.
    [2.21]K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M. Buehler, A. Cappellani, R. Chau, C.-H. Choi, G. Ding, K. Fischer, T. Ghani, R. Grover, W. Han, D. Hanken, M. Hattendorf, J. He, J. Hicks, R. Huessner, D. Ingerly, P. Jain, R. James, L. Jong, S. Joshi, C. Kenyon, K. Kuhn, K. Lee, H. Liu, J. Maiz, B. McIntyre, P. Moon, J. Neirynck, S. Pae, C. Parker, D. Parsons, C. Prasad, L. Pipes, M. Prince, P. Ranade, T. Reynolds, J. Sandford, L. Shifren, J. Sebastian, J. Seiple, D. Simon, S. Sivakumar, P. Smith, C. Thomas, T. T roeger, P. Vandervoorn, S. Williams, K. Zawadzki, “A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging,” Tech. Dig. - Int. Electron Devices Meet., 2007, pp. 247-250.
    [2.22]F. W. Smith and G. Ghidini, “Reaction of Oxygen with Si(111) and (100): Critical Conditions for the Growth of SiO2,” J. Electrochem. Soc., vol. 129, no. 6, pp. 1300-1306, Jun. 1982.
    [2.23]G. Ghidini and F. W. Smith, “Interaction of H2O with Si(111) and (100),” J. Electrochem. Soc., vol. 131, no. 12, pp. 2924-2928, Dec. 1984.
    [2.24]B. S. Meyerson, “Low‐temperature silicon epitaxy by ultrahigh vacuum/chemical vapor deposition,” Appl. Phys. Lett., vol. 48, no. 12, pp. 797-799, Mar. 1986.
    [2.25]B. S. Meyerson, E. Ganin, D. A. Smith, and T. N. Nguyen, “Low Temperature Silicon Epitaxy by Hot Wall Ultrahigh Vacuum/Low Pressure Chemical Vapor Deposition Techniques: Surface Optimization,” J. Electrochem. Soc., vol. 133, no. 6, pp. 1232-1235, Jun. 1986.
    [2.26]S. M. Gates and S. K. Kulkarni, “Kinetics of surface reactions in very low‐pressure chemical vapor deposition of Si from SiH4,” Appl. Phys. Lett., vol. 58, no. 25, pp. 2963-2965, Jun. 1991.
    [2.27]M. Racanelli and D. W. Greve, “Low‐temperature selective epitaxy by ultrahigh‐vacuum chemical vapor deposition from SiH4 and GeH4/H2,” Appl. Phys. Lett., vol. 58, no. 19, pp. 2096-2098, May 1991.
    [3.1]T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann, K. Johnson, C. Kenyon, J. Klaus, B. Mclntyre, K. Mistry, A. Murthy, J. Sandford, M. Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson, and M. Bohr, “A 90 nm high volume manufacturing logic technology featuring novel 45 nm gate length strained silicon CMOS transistors,” in IEDM Tech. Dig., 2003, pp. 978-980.
    [3.2]H.-N. Lin, H.-W. Chen, C.-H. Ko, C.-H. Ge, H.-C. Lin, T.-Y. Huang, W.-C. Lee, and D. D. Tang, “The impact of uniaxial strain engineering on channel backscattering in nanoscale MOSFETs,” in VLSI Symp. Tech. Dig., 2005, pp. 174-175.
    [3.3]H.-N. Lin, H.-W. Chen, C.-H. Ko, C.-H. Ge, H.-C. Lin, T.-Y. Huang, and W.-C. Lee, “Correlating Drain–Current With Strain-Induced Mobility in Nanoscale Strained CMOSFETs,” IEEE Electron Device Lett., vol. 27, no. 8, pp. 659-661, Aug. 2006.
    [3.4]Y. Kanda, “A graphical representation of the piezoresistance coefficients in silicon,” IEEE Trans. Electron Devices, vol. 29, no. 1, pp. 64-70, Jan. 1982.
    [3.5]W.-T. Kang, J.-S. Kim, K.-Y. Lee, Y.-C. Shin, T.-H. Kim, Y.-J. Park, and J.-W. Park, “The Leakage Current Improvement in an Ultrashallow Junction NMOS with Co Silicided Source and Drain,” IEEE Electron Device Lett., vol. 21, no. 1, pp. 9-11, Jan. 2000.
    [3.6]K.-M. Lee, C.-J. Choi, J.-H. Lee, T.-Y. Seong, Y.-J. Park, S.-K. Hong, J.-G. Ahn, and H.-D. Lee, “N+/P junction leakage characteristics of Co salicide process for 0.15 μm CMOS devices,” IEEE Trans. Electron Devices, vol. 49, no. 5, pp. 937-939, May 2002.
    [3.7]H. Iwai, T. Ohguro, S.-i. Ohmi, “NiSi salicide technology for scaled CMOS,” Microelectron. Eng., vol. 60, no. 1-2, pp. 157-169, Jan. 2002.
    [3.8]W.-C. Hua, M. H. Lee, P. S. Chen, M.-J. Tsai, and C. W. Liu, “Threading Dislocation Induced Low Frequency Noise in Strained-Si nMOSFETs,” IEEE Electron Device Lett., vol. 26, no. 9, pp. 667-669, Sep. 2005.
    [3.9]N. Sugii, D. Hisamoto, K. Washio, N. Yokoyama, and S. Kimura, “Performance enhancement of strained-Si MOSFETs fabricated on a chemical-mechanical- polished SiGe substrate,” IEEE Trans. Electron Devices, vol. 49, no. 12, pp. 2237-2243, Dec. 2002.
    [3.10]S.-L. Wu, Y.-P. Wang and S.-J. Chang, “Controlled misfit dislocation technology in strained silicon MOSFETs,” Semicond. Sci. Technol., vol. 21, no. 1, pp. 44-47, Jan 2006.
    [3.11]S. H. Olsen, A. G. O'Neill, D. J. Norris, A. G. Cullis, K. Fobelets, and H. A. Kemhadjian, “Impact of virtual substrate quality on performance enhancements in strained Si/SiGe heterojunction n-channel MOSFETs,” Solid-State Electron., vol. 47, no. 8, pp. 1289-1295, Aug. 2003.
    [3.12]M. T. Currie, C. W. Leitz, T. A. Langdo, G. Taraschi, E. A. Fitzgerald, and D. A. Antoniadis, “Carrier mobilities and process stability of strained Si n- and p-MOSFETs on SiGe virtual substrates,” J. Vac. Sci. Technol. B, vol. 19, no. 6, pp. 2268-2279, Nov./Dec.2001.
    [3.13]Y.-P. Wang, S.-L. Wu, and S.-J. Chang, “Optimized Si-Cap Layer Thickness for Tensile-Strained-Si/ Compressively Strained SiGe Dual-Channel Transistors in 0.13 µm Complementary Metal Oxide Semiconductor Technology,” Jpn. J. Appl. Phys., vol. 44, no. 40, pp. L1248-L1251, Sep. 2005.
    [3.14]H. Tian, K. W. Kim, J. R. Hauser, N. A. Masnari, and M. A. Littlejohn, “Effects of profile doped elevated source/drain structures on deep-submicron MOSFETs,” Solid-State Electron., vol. 38, no. 3, pp. 573-579, Mar. 1995.
    [3.15]H.-J. Huang, K.-M. Chen, T.-Y. Huang, T.-S. Chao, G.-W. Huang, C.-H. Chien, and C.-Y. Chang, “Improved low temperature characteristics of P-channel MOSFETs with Si1-xGex raised source and drain,” IEEE Trans. Electron Devices, vol. 48, no. 8, pp. 1627-1632, Aug. 2001.
    [3.16]R. A. Donaton, K. Maex, A. Vantomme, G. Langouche, Y. Morciaux, A. St. Amour, and J. C. Sturm, “Co silicide formation on SiGeC/Si and SiGe/Si layers,” Appl. Phys. Lett., vol. 70, no. 10, pp. 1266-1268, Mar. 1997.
    [3.17]J.-S. Goo, Q. Xiang, Y. Takamura, F. Arasnia, E. N. Paton, P. Besser, J. Pan, and M.-R. Lin, “Band offset induced threshold variation in strained-Si nMOSFETs,” IEEE Electron Device Lett., vol. 24, no. 9, pp. 568-570, Sep. 2003.
    [4.1]S. H. Olsen, A. G. O'Neill, D. J. Norris, A. G. Cullis, N. J. Woods, J. Zhang, K. Fobelets, and H. A. Kemhadjian, “Strained Si/SiGe n-channel MOSFETs: impact of cross-hatching on device performance,” Semicond. Sci. Technol., vol. 17, no. 7, pp. 655-661, Jun. 2002.
    [4.2]K. Sawano, K. Kawaguchi, T. Ueno, S. Koh, K. Nakagawa, and Y. Shiraki, “Surface smoothing of SiGe strain-relaxed buffer layers by chemical mechanical polishing,” Mater. Sci. Eng. B, vol. 89, no. 1-3, pp. 406-409, Feb. 2002.
    [4.3]N. Sugii, K. Nakagawa, S. Yamaguchi, and M. Miyao, “Role of Si1−xGex buffer layer on mobility enhancement in a strained-Si n-channel metal-oxide-semi- conductor field-effect transistor,” Appl. Phys. Lett., vol. 75, no. 19, pp. 2948-2950, Nov. 1999.
    [4.4]N. Sugii, D. Hisamoto, K. Washio, N. Yokoyama, and S. Kimura, “Performance enhancement of strained-Si MOSFETs fabricated on a chemical-mechanical- polished SiGe substrate,” IEEE Trans. Electron Devices, vol. 49, no. 12, pp. 2237-2243, Dec. 2002.
    [4.5]N. Lukyanchikova, N. Garbar, M. Petrichuk, E. Simoen, and C. Claeys, “Flicker noise in deep submicron nMOS transistors,” Solid-State Electron., vol. 44, no. 7, pp. 1239-1245, Jul. 2000.
    [4.6]C. Claeys, A. Mercha, and E. Simoen, “Low-Frequency Noise Assessment for Deep Submicrometer CMOS Technology Nodes,” J. Electrochem. Soc., vol. 151, no. 5, G307-G318, Mar. 2004.
    [4.7]E. Simoen and C. Claeys, “Reliability aspects of the low-frequency noise behaviour of submicron CMOS technologies,” Semicond. Sci. Technol., vol. 14, no. 8, pp. R16-R71, Apr. 1999.
    [4.8]E. Simoen and C. Claeys, “Random Telegraph Signal: a local probe for single point defect studies in solid-state devices,” Mater. Sci. Eng. B, vol. 91-92, pp. 136-143, Apr. 2002.
    [4.9]Y. P. Wang, S. L. Wu, and S. J. Chang, “Low-Frequency Noise Characteristics in Strained-Si nMOSFETs,” IEEE Electron Device Lett., vol. 28, no. 1, pp. 36-38, Jan. 2007.
    [4.10]W.-C. Hua, M. H. Lee, P. S. Chen, S. Maikap, C. W. Liu, and K. M. Chen, “Ge Outdiffusion Effect on Flicker Noise in Strained-Si nMOSFETs,” IEEE Electron Device Lett., vol. 25, no. 10, pp. 693-695, Oct. 2004.
    [4.11]E. Simoen, G. Eneman, P. Verheyen, R. Delhougne, R. Loo, K. D. Meyer, and C. Claeys, “On the beneficial impact of tensile-strained silicon substrates on the low-frequency noise of n-channel metal-oxide-semiconductor transistors, ” Appl. Phys. Lett., vol. 86, no. 22, p. 223509, May 2005.
    [4.12]W.-C. Hua, M. H. Lee, P. S. Chen, M.-J. Tsai, and C. W. Liu, “Threading Dislocation Induced Low Frequency Noise in Strained-Si nMOSFETs,” IEEE Electron Device Lett., vol. 26, no. 9, pp. 667-669, Sep. 2005.
    [4.13]M. T. Currie, C. W. Leitz, T. A. Langdo, G. Taraschi, E. A. Fitzgerald, and D. A. Antoniadis, “Carrier mobilities and process stability of strained Si n- and p-MOSFETs on SiGe virtual substrates,” J. Vac. Sci. Technol. B, vol. 19, no. 6, pp. 2268-2279, Nov./Dec. 2001.
    [4.14]Y. A. Allogo, M. Marin, M. d. Murcia, P. Llinares, and D. Cottin, “1/f noise in 0.18 μm technology n-MOSFETs from subthreshold to saturation,” Solid-State Electron., vol. 46, no. 7, pp. 977-983, Jul. 2002.
    [4.15]G. Ghibaudo and T. Boutchacha, “Electrical noise and RTS fluctuations in advanced CMOS devices,” Microelectron. Reliab., vol. 42, no. 4-5, pp. 573-582, Apr./May 2002.
    [4.16]J. J.-Y. Kuo, W. P.-N. Chen, and P. Su, “Impact of Uniaxial Strain on Low-Frequency Noise in Nanoscale PMOSFETs,” IEEE Electron Device Lett., vol. 30, no. 6, pp. 672-674, Jun. 2009.
    [5.1]Y.-C. Yeo, T.-J. King, and C. Hu, “MOSFET gate leakage modeling and selection guide for alternative gate dielectrics based on leakage considerations,” IEEE Trans. Electron Devices, vol. 50, no. 4, pp. 1027-1035, Apr. 2003.
    [5.2]Y.-C. Yeo, Q. L., W. C. Lee, T.-J. King, C. Hu, X. Wang, X. Guo, and T. P. Ma, “Direct Tunneling Gate Leakage Current in Transistors with Ultrathin Silicon Nitride Gate Dielectric,” IEEE Electron Device Lett., vol. 20, no. 11, pp. 540-542, Nov. 2000.
    [5.3]H. Hwang, W. Ting, B. Maiti, D.‐L. Kwong, and J. Lee, “Electrical characteristics of ultrathin oxynitride gate dielectric prepared by rapid thermal oxidation of Si in N2O,” Appl. Phys. Lett., vol. 57, no. 10, p. 1010, 1990.
    [5.4]J. P. Chang and Y.-S. Lin, “Dielectric property and conduction mechanism of ultrathin zirconium oxide films,” Appl. Phys. Lett., vol. 79, no. 22, p. 3666, 2001.
    [5.5]D. Lim, R. Haight, M. Copel, and E. Cartier, “Oxygen defects and Fermi level location in metal-hafnium oxide-silicon structures,” Appl. Phys. Lett., vol. 87, no. 7, p. 072902, 2005.
    [5.6]L. Kang, B. H. Lee, W.-J. Qi, Y. Jeon, R. Nieh, S. Gopalan, K. Onishi, and J. C. Lee, “Electrical Characteristics of Highly Reliable Ultrathin Hafnium Oxide Gate Dielectric,” IEEE Electron Device Lett., vol. 21, no. 4, pp. 181-183, Apr. 2000.
    [5.7]J. Kolodzey, E. A. Chowdhury, T. N. Adam, G. Qui, I. Rau, J. O. Olowolafe, J. S. Suehle, and Yuan Chen, “Electrical Conduction and Dielectric Breakdown in Aluminum Oxide Insulators on Silicon,” IEEE Trans. Electron Devices, vol. 47, no. 1, pp. 121-128, Jan. 2000.
    [5.8]S. Guha, E. Cartier, M. A. Gribelyuk, N. A. Bojarczuk, and M. C. Copel, “Atomic beam deposition of lanthanum- and yttrium-based oxide thin films for gate dielectrics,” Appl. Phys. Lett., vol. 77, no. 17, p. 2710, Oct. 2000.
    [5.9]C. Auth, A. Cappellani, J.-S. Chun, A. Dalis, A. Davis, T. Ghani, G. Glass, T. Glassman, M. Harper, M. Hattendorf, P. Hentges, S. Jaloviar, S. Joshi, J. Klaus, K. Kuhn, D. Lavric, M. Lu, H. Mariappan, K. Mistry, B. Norris, N. Rahhal-orabi, P. Ranade, J. Sandford, L. Shifren, V. Souw, K. Tone, F. Tambwe, A. Thompson, D. Towner, T. Troeger, P. Vandervoorn, C. Wallace, J. Wiedemer, C. Wiegand, “45nm High-k + Metal Gate Strain-Enhanced Transistors,” Dig. Tech. Pap. - Symp. VLSI Technol., 2008, pp. 128-129.
    [5.10]C.-H. Jan, P. Bai, S. Biswas, M. Buehler, Z.-P. Chen, G. Curello, S. Gannavaram, W. Hafez, J. He, J. Hicks, U. Jalan, N. Lazo, J. Lin, N. Lindert, C. Litteken, M. Jones, M. Kang, K. Komeyli, A. Mezhiba, S. Naskar, S. Olson, J. Park, R. Parker, L. Pei, I. Post, N. Pradhan, C. Prasad, M. Prince, J. Rizk, G. Sacks, H. Tashiro, D. Towner, C. Tsai, Y. Wang, L. Yang, J.-Y. Yeh, J. Yip, K. Mistry, “A 45nm Low Power System-On-Chip Technology with Dual Gate (Logic and I/O) High-k/Metal Gate Strained Silicon Transistors,” Tech. Dig. - Int. Electron Devices Meet., 2008, pp. 637-640.
    [5.11]G. K. Dalapati, Y. Tong, W. Y. Loh, H. K. Mun, and B. J. Cho, “Impact of interfacial layer control using Gd2O3 in HfO2 gate dielectric on GaAs,” Appl. Phys. Lett., vol. 90, no. 18, p. 183510, May 2007.
    [5.12]K. H. Shiu, T. H. Chiang, P. Chang, L. T. Tung, M. Hong, J. Kwo, and W. Tsai, “1 nm equivalent oxide thickness in Ga2O3(Gd2O3)/In0.2Ga0.8As metal-oxide- semiconductor capacitors,” Appl. Phys. Lett., vol. 92, no. 17, p. 172904, 2008.
    [5.13]T. D. Lin, H. C. Chiu, P. Chang, L. T. Tung, C. P. Chen, M. Hong, J. Kwo, W. Tsai, and Y. C. Wang, “High-performance self-aligned inversion-channel In0.53Ga0.47As metal-oxide-semiconductor field-effect-transistor with Al2O3/Ga2O3(Gd2O3) as gate dielectrics,” Appl. Phys. Lett., vol. 93, no. 3, p. 033516, 2008.
    [5.14]H. C. Lin, T. Yang, H. Sharifi, S. K. Kim, Y. Xuan, T. Shen, S. Mohammadi, and P. D. Ye, “Enhancement-mode GaAs metal-oxide-semiconductor high-electron- mobility transistors with atomic layer deposited Al2O3 as gate dielectric,” Appl. Phys. Lett., vol. 91, no. 21, p. 212101, 2007.
    [5.15]F. S. Aguirre-Tostado, M. Milojevic, K. J. Choi, H. C. Kim, C. L. Hinkle, E. M. Vogel, J. Kim, T. Yang, Y. Xuan, P. D. Ye, and R. M. Wallace, “S passivation of GaAs and band bending reduction upon atomic layer deposition of HfO2/Al2O3 nanolaminates,” Appl. Phys. Lett., vol. 93, no. 6, p. 061907, 2008.
    [5.16]H.-C. Chin, X. Liu, X. Gong, and Y.-C. Yeo, “Silane and Ammonia Surface Passivation Technology for High-Mobility In0.53Ga0.47As MOSFETs,” IEEE Trans. Electron Devices, vol. 57, no. 5, pp. 973-979, May 2010.
    [5.17]C.-H. Chang, Y.-K. Chiou, Y.-C. Chang, K.-Y. Lee, T.-D. Lin, T.-B. Wu, M. Hong, and J. Kwo, “Interfacial self-cleaning in atomic layer deposition of HfO2 gate dielectric on In0.15Ga0.85As,” Appl. Phys. Lett., vol. 89, no. 24, p. 242911, 2006.
    [5.18]G. Brammertz, H.-C. Lin, M. Caymax, M. Meuris, M. Heyns, and M. Passlack, “On the interface state density at In0.53Ga0.47As/oxide interfaces,” Appl. Phys. Lett., vol. 95, no. 20, p. 202109, 2009.
    [5.19]Y.-T. Chen, H. Zhao, Y. Wang, F. Xue, F. Zhou, and J. C. Lee, “Fluorinated HfO2 gate dielectric engineering on In0.53Ga0.47As metal-oxide-semiconductor field- effect-transistors,” Appl. Phys. Lett., vol. 96, no. 10, p. 103506, 2010.
    [5.20]N. Li, E. S. Harmon, J. Hyland, D. B. Salzman, T. P. Ma, Y. Xuan, and P. D. Ye, “Properties of InAs metal-oxide-semiconductor structures with atomic-layer- deposited Al2O3 Dielectric,” Appl. Phys. Lett., vol. 92, no. 14, p. 143507, 2008.
    [5.21]D. Wheeler, L.-E. Wernersson, L. Fröberg, C. Thelander, A. Mikkelsen, K.-J. Weststrate, A. Sonnet, E.M. Vogel, and A. Seabaugh, “Deposition of HfO2 on InAs by atomic-layer deposition,” Microelectron. Eng., vol. 86, no. 7-9, pp. 1561-1563, Jul./Sep. 2009.
    [5.22]R. Timm, A. Fian, M. Hjort, C. Thelander, E. Lind, J. N. Andersen, L.-E. Wernersson, and A. Mikkelsen, “Reduction of native oxides on InAs by atomic layer deposited Al2O3 and HfO2,” Appl. Phys. Lett., vol. 97, no. 13, p. 132904, 2010.
    [5.23]Y. S. Kang, C. Y. Kim, M. -H. Cho, K. B. Chung, C. -H. An, H. Kim, H. J. Lee, C. S. Kim, and T. G. Lee, “Thickness dependence on crystalline structure and interfacial reactions in HfO2 films on InP (001) grown by atomic layer deposition,” Appl. Phys. Lett., vol. 97, no. 17, p. 172108, 2010.
    [5.24]M. Xu, Y. Q. Wu, O. Koybasi, T. Shen, and P. D. Ye, “Metal-oxide-semiconductor field-effect transistors on GaAs (111)A surface with atomic-layer-deposited Al2O3 as gate dielectrics,” Appl. Phys. Lett., vol. 94, no. 21, p. 212104, 2009.
    [5.25]M. Xu, K. Xu, R. Contreras, M. Milojevic, T. Shen, O. Koybasi, Y.Q. Wu, R.M. Wallace, and P. D. Ye, “New Insight into Fermi-Level Unpinning on GaAs: Impact of Different Surface Orientations,” Tech. Dig. - Int. Electron Devices Meet., 2009, pp. 865-868.
    [5.26]M. Scarrozza, G. Pourtois, M. Houssa, M. Caymax, A. Stesmans, M. Meuris, and M. M. Heyns, “A theoretical study of the initial oxidation of the GaAs(001)-β2(2×4) surface,” Appl. Phys. Lett., vol. 95, no. 25, p. 253504, Dec. 2009.
    [5.27]A. Schwarz, W. Allers, U. D. Schwarz, and R. Wiesendanger, “Simultaneous imaging of the In and As sublattice on InAs(110)-(1×1) with dynamic scanning force microscopy,” Appl. Surf. Sci., vol. 140, no. 3-4, pp. 293-297, Feb. 1999.
    [5.28]W. Melitz, J. Shen, S. Lee, J. S. Lee, A. C. Kummel, R. Droopad, and E. T. Yu, “Scanning tunneling spectroscopy and Kelvin probe force microscopy investigation of Fermi energy level pinning mechanism on InAs and InGaAs clean surfaces,” J. Appl. Phys., vol. 108, no. 2, p. 023711, 2010.
    [5.29]P. D. Ye, “Main determinants for III–V metal-oxide-semiconductor field-effect transistors,” J. Vac. Sci. Technol. A, vol. 26, no. 4, pp. 697-704, Jul./Aug. 2008.
    [5.30]H. Zhao, J. Huang, Y.-T. Chen, J. H. Yum, Y. Wang, F. Zhou, F. Xue, and J. C. Lee, “Effects of gate-first and gate-last process on interface quality of In0.53Ga0.47As metal-oxide-semiconductor capacitors using atomic-layer-deposited Al2O3 and HfO2 oxides,” Appl. Phys. Lett., vol. 95, no. 25, p. 253501, 2009.
    [5.31]H.-P. Komsa and A. Pasquarello, “Dangling bond charge transition levels in AlAs, GaAs, and InAs,” Appl. Phys. Lett., vol. 97, no. 19, p. 191901, 2010.
    [5.32]M. Losurdo, M. M. Giangregorio, F. Lisco, P. Capezzuto, G. Bruno, S. D. Wolter, M. Angelo, and A. Brownb, “InAs(100) Surfaces Cleaning by an As-Free Low-Temperature 100°C Treatment,” J. Electrochem. Soc., vol. 156, no. 4, pp. H263-H267, 2009.
    [6.1]G. E. Moore, “Progress in digital integrated electronics,” Tech. Dig. - Int. Electron Devices Meet., 1975, pp. 11-13.
    [6.2]Y. Zhao, M. Takenaka, and S. Takagi, “Comprehensive Understanding of Coulomb Scattering Mobility in Biaxially Strained-Si pMOSFETs,” IEEE Trans. Electron Devices, vol. 56, no. 5, pp. 1152-1156, May 2009.
    [6.3]J. L. Hoyt, H. M. Nayfeh, S. Eguchi, I. Aberg, G. Xia, T. Drake, E. A. Fitzgerald, and D. A. Antoniadis, “Strained Silicon MOSFET Technology,” Tech. Dig. - Int. Electron Devices Meet., 2002, pp. 23-26.
    [6.4]S. Suthram, J. C. Ziegert, T. Nishida, and S. E. Thompson, “Piezoresistance Coefficients of (100) Silicon nMOSFETs Measured at Low and High (∼1.5 GPa) Channel Stress,” IEEE Electron Device Lett., vol. 28, no. 1, pp. 58-61, Jan. 2007.
    [6.5]S. Flachowsky, A. Wei, R. Illgen, T. Herrmann, J. Höntschel, M. Horstmann, W. Klix, and R. Stenzel, “Understanding Strain-Induced Drive-Current Enhancement in Strained-Silicon n-MOSFET and p-MOSFET,” IEEE Trans. Electron Devices, vol. 57, no. 6, pp. 1343-1354, Jun. 2010.
    [6.6]K.-W. Ang, J. Lin, C.-H. Tung, N. Balasubramanian, G. S. Samudra, and Y.-C. Yeo, “Strained n-MOSFET With Embedded Source/Drain Stressors and Strain-Transfer Structure (STS) for Enhanced Transistor Performance,” IEEE Trans. Electron Devices, vol. 55, no. 3, pp. 850-857, Mar. 2008.
    [6.7]M.-S. Chen, Y.-K. Fang, F.-R. Juang, Y.-T. Chiang, C.-I Lin, T.-H. Lee, C.-Y. Tseng, S. Chou, and C.-W. Chen, “Effect of Finger Pitch on the Driving Ability of a 40-nm MOSFET With Contact Etch Stop Layer Strain in Multifinger Gated Structure,” IEEE Trans. Electron Devices, vol. 57, no. 6, pp. 1355-1361, Jun. 2010.
    [6.8]N. Yasutake, A. Azuma, T. Ishida, N. Kusunoki, S. Mori, H. Itokawa, I. Mizushima, S. Okamoto, T. Morooka N. Aoki, S. Kawanaka, S. Inaba, and Y. Toyoshima, “Record-high performance 32 nm node pMOSFET with advanced Two-step recessed SiGe-S/D and stress liner technology,” in VLSI Symp. Tech. Dig., 2007, pp. 48-49.
    [6.9]K.-Y. Lim, H. Lee, C. Ryu, K.-I. Seo, U. Kwon, S. Kim, J. Choi, K. Oh, H.-K. Jeon, C. Song, T.-O. Kwon, J. Cho, S. Lee, Y. Sohn, H. S. Yoon, J. Park, K. Lee, W. Kim, E. Lee, S.-P. Sim, C. G. Koh, S. B. Kang, S. Choi, and C. Chung, “Novel Stress-Memorization-Technology (SMT) for High Electron Mobility Enhancement of Gate Last High-k/Metal Gate Devices,” Tech. Dig. - Int. Electron Devices Meet., 2010, pp. 229-232.
    [6.10]S. E. Thompson, G. Sun, Y. S. Choi, and T. Nishida, “Uniaxial-Process-Induced Strained-Si: Extending the CMOS Roadmap,” IEEE Trans. Electron Devices, vol. 53, no. 5, pp. 1010-1020, May 2006.
    [6.11]M. K. Hudait, G. Dewey, S. Datta, J. M. Fastenau, J. Kavalieros, W. K. Liu, D. Lubyshev, R. Pillarisetty, W. Rachmady, M. Radosavljevic, T. Rakshit and R. Chau, “Heterogeneous Integration of Enhancement Mode In0.7Ga0.3As Quantum Well Transistor on Silicon Substrate using Thin (≤ 2 μm) Composite Buffer Architecture for High-Speed and Low-voltage (0.5V) Logic Applications,” Tech. Dig. - Int. Electron Devices Meet., 2007, pp. 625-628.
    [6.12]F. Xue, H. Zhao, Y.-T. Chen, Y. Wang, F. Zhou, and J. C. Lee, “InAs inserted InGaAs buried channel metal-oxide-semiconductor field-effect-transistors with atomic-layer-deposited gate dielectric,” Appl. Phys. Lett., vol. 98, no. 8, p. 082106, Feb 2011.
    [6.13]A. Nainani, T. Irisawa, Z. Yuan, Y. Sun, T. Krishnamohan, M. Reason, B. R. Bennett, J. B. Boos, M. G. Ancona, Y. Nishi, and K. C. Saraswat, “Development of high-k dielectric for Antimonides and a sub 350ºC III-V pMOSFET outperforming Germanium,” Tech. Dig. - Int. Electron Devices Meet., 2010, pp. 138-141.
    [6.14]Y. Sun, “III-V: Replacing Si or More than Moore?,” in VLSI Symp. Tech. Dig., 2010, pp. 149-150.
    [6.15]X. Zhang, H. Guo, C.-H. Ko, C. H. Wann, C.-C. Cheng, H.-Y. Lin, H.-C. Chin, X. Gong, P. S. Y. Lim, G.-L. Luo, C.-Y. Chang, C.-H. Chien, Z.-Y. Han, S.-C. Huang, and Y.-C. Yeo, “III-V MOSFETs with a New Self-Aligned Contact,” in VLSI Symp. Tech. Dig., 2010, pp. 233-234.
    [6.16]G. W. Pickrell, K. L. Chang, J. H. Epple, K. Y. Cheng, and K. C. Hsieh, “Protection of In0.25Ga0.75As/GaAs structures during lateral oxidation using an amorphous InGaP layer,” J. Vac. Sci. Technol. B, vol. 20, no. 3, pp. 876-879, May/Jun.2002.
    [6.17]K.-W. Lee, P.-W. Sze, Y.-J. Lin, N.-Y. Yang, M.-P. Houng, and Y.-H. Wang, “InGaP/InGaAs Metal–Oxide–Semiconductor Pseudomorphic High-Electron- Mobility Transistor With a Liquid-Phase-Oxidized InGaP as Gate Dielectric,” IEEE Electron Device Lett., vol. 26, no. 12, pp. 864-866, Dec. 2005.
    [6.18]I. Barycka and I. Zubel, “Chemical etching of (100) GaAs in a sulphuric acid-hydrogen peroxide-water system,” J. Mat. Sci., vol. 22, no. 4, pp. 1299-1304, 1987.
    [6.19]H.-C. Chin, M. Zhu, Z.-C. Lee, X. Liu, K.-M. Tan, H. K. Lee, L. Shi, L.-J. Tang, C.-H. Tung, G.-Q. Lo, L.-S. Tan, and Y.-C. Yeo, “A New Silane-Ammonia Surface Passivation Technology for Realizing Inversion-Type Surface-Channel GaAs N-MOSFET with 160 nm Gate Length and High-Quality Metal-Gate/High-k Dielectric Stack,” Tech. Dig. - Int. Electron Devices Meet., 2008, pp. 383-386.
    [6.20]Y. Xuan, Y. Q. Wu, H. C. Lin, T. Shen, and P. D. Ye, “Submicrometer Inversion- Type Enhancement-Mode InGaAs MOSFET With Atomic-Layer- Deposited Al2O3 as Gate Dielectric,” IEEE Electron Device Lett., vol. 28, no. 11, pp. 935-938, Nov. 2007.
    [6.21]C.-C. Cheng, C.-H. Chien, G.-L. Luo, J.-C. Liu, C.-C. Kei, D.-R. Liu, C.-N. Hsiao, C.-H. Yang, and C.-Y. Chang, “Characteristics of Atomic-Layer-Deposited Al2O3 High-k Dielectric Films Grown on Ge Substrates,” J. Electrochem. Soc., vol. 155, no. 10, pp. G203-G208, Aug. 2008.
    [6.22]A. Leycuras and M. G. Lee, “Role of arsenic in the heteroepitaxy of Ge/GaAs,” Appl. Phys. Lett., vol. 65, no. 18, pp. 2296-2298, Oct. 1994.
    [6.23]Y. Bai, K. E. Lee, C. Cheng, M. L. Lee, and E. A. Fitzgerald, “Growth of highly tensile-strained Ge on relaxed InxGa1−xAs by metalorganic chemical vapor deposition,” J. Appl. Phys., vol. 104, no. 8, p. 084518, 2008.
    [6.24]G.-L. Luo, Z.-Y. Han, C.-H. Chien, C.-H. Ko, C. H. Wann, H.-Y. Lin, Y.-L. Shen, C.-T. Chung, S.-C. Huang, C.-C. Cheng, and C.-Y. Chang, “Ge Epitaxial Growth on GaAs Substrates for Application to Ge-Source/Drain GaAs MOSFETs,” J. Electrochem. Soc., vol. 157, no. 1, pp. H27-H30, Nov. 2010.
    [6.25]K. Shiojima, K. Nishimura, T. Aoki, and F. Hyuga, “Large Schottky barriers formed on epitaxial InGaP grown on GaAs,” J. Appl. Phys., vol. 77, no. 1, pp. 390-392, Jan. 1995.
    [6.26]C.-T. Lee, M.-H. Lan and C.-D. Tsai, “Improved performances of InGaP Schottky contact with Ti/Pt/Au metals and MSM photodetectors by (NH4)2Sx treatment,” Solid-State Electron., vol. 41, no. 11, pp. 1715-1719, Nov. 1997.
    [6.27]D. P. Brunco, B. D. Jaeger, G. Eneman, J. Mitard, G. Hellings, A. Satta, V. Terzieva, L. Souriau, F. E. Leys, G. Pourtois, M. Houssa, G. Winderickx, E. Vrancken, S. Sioncke, K. Opsomer, G. Nicholas, M. Caymax, A. Stesmans, J. V. Steenbergen, P. W. Mertens, M. Meuris, and M. M. Heyns, “Germanium MOSFET Devices: Advances in Materials Understanding, Process Development, and Electrical Performance,” J. Electrochem. Soc., vol. 155, no. 7, pp. H552-H561, May 2008.
    [6.28]K. Martens, A. Firrincieli, R. Rooyackers, B. Vincent, R. Loo, S. Locorotondo, E. Rosseel, T. Vandeweyer, G. Hellings, B. D. Jaeger, M. Meuris, P. Favia, H. Bender, B. Douhard, J. Delmotte, W. Vandervorst, E. Simoen, G. Jurczak, D. Wouters, and J. A. Kittl, “Record low contact resistivity to n-type Ge for CMOS and Memory Applications,” Tech. Dig. - Int. Electron Devices Meet., 2010, pp. 428-431.
    [7.1]R. Chau, B. Doyle, J. Kavalieros, D. Barlage, A. Murthy, M. Doczy, R. Arghavani, and S. Datta., “Advanced Depleted-Substrate Transistors: Single-Gate, Double-Gate, and Tri-Gate,” International Conference on Solid-State Devices and Materials (SSDM), Nagoya, Japan, 2002, pp. 68-69.
    [7.2]J.-J. Lee, S. T. Hsu, D. J. Tweet, and J.-S. Maa, “Strained silicon finFET device,” U.S. Patent, no. 7045401, May 2006.
    [7.3]C.-Y. Chang, T.-L. Lee, C. Wann, L.-S. Lai, H.-M. Chen, C.-C. Yeh, C.-S. Chang, C.-C. Ho, J.-C. Sheu, T.-M. Kwok, F. Yuan, S.-M. Yu, C.-F. Hu, J.-J. Shen, Y.-H. Liu, C.-P. Chen, S.-C. Chen, L.-S. Chen, L. Chen, Y.-H. Chiu, C.-Y. Fu, M.-J. Huang, Y.-L. Huang, S.-T. Hung, J.-J. Liaw, H.-C. Lin, H.-H. Lin, L.-T. S. Lin, S.-S. Lin, Y.-J. Mii, E. Ou-Yang, M.-F. Shieh, C.-C. Su, S.-P. Tai, H.-J. Tao, M.-H. Tsai, K.-T. Tseng, K.-W. Wang, S.-B. Wang, J. J. Xu, F.-K. Yang, S.-T. Yang, C.-N. Yeh, “A 25-nm Gate-Length FinFET Transistor Module for 32nm Node,” Tech. Dig. - Int. Electron Devices Meet., 2009, pp. 293-296.
    [7.4]R. T.-P. Lee, K.-M. Tan, A. E.-J. Lim, T.-Y. Liow, G. S. Samudra, D.-Z. Chi, and Y.-C. Yeo, “P-Channel Tri-Gate FinFETs Featuring Ni1−yPtySiGe Source/Drain Contacts for Enhanced Drive Current Performance,” IEEE Electron Device Lett., vol. 29, no. 5, pp. 438-441, May 2008.

    下載圖示 校內:2017-07-01公開
    校外:2021-10-10公開
    QR CODE