簡易檢索 / 詳目顯示

研究生: 吳奕廷
Wu, Yi-Ting
論文名稱: 輸出級與靜態隨機存取記憶體之鰭式與閘極全包覆式電晶體設計
FinFET and Gate-All-Around Transistor Designs for Output Stage and Static Random Access Memory
指導教授: 陳志方
Chen, Jone-Fang
共同指導教授: 江孟學
Chiang, Meng-Hsueh
學位類別: 博士
Doctor
系所名稱: 電機資訊學院 - 微電子工程研究所
Institute of Microelectronics
論文出版年: 2022
畢業學年度: 110
語文別: 英文
論文頁數: 124
中文關鍵詞: 鰭式電晶體閘極全包覆式電晶體靜態隨機存取記憶體插入氧化層鰭式電晶體半導體製程與元件模擬輸出級橫向擴散金氧半電容元件奈米線奈米片叉子記憶體
外文關鍵詞: FinFET, gate-all-around (GAA) transistor, static random access memory (SRAM), inserted-oxide (i-oxide) FinFET, technology computer-aided design (TCAD), output stage, laterally diffused metal-oxide-semiconductor (LDMOS) FET, nanowire, nanosheet, forksheet
相關次數: 點閱:197下載:65
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 本論文採用半導體製程與元件模擬軟體(Technology Computer Aided Design, TCAD)來研究現今鰭式電晶體(FinFET)所遭遇到的挑戰。和傳統的平面電晶體相比,鰭式電晶體所遭遇到的第一個挑戰是其橫向擴散金氧半電容元件(laterally-diffused MOSFET, LDMOS)的特性較差,這是因為其元件漂移區(drift region)的鰭式結構寬度很小(截面積不足)而導致了高導通電阻的產生,本論文的第三章提出了一種新的製程方法,將原本橫向擴散金氧半電容元件的鰭狀飄移區(fin-type drift region)改成完整的塊狀平面飄移區(bulk planar drift region),使得導通電阻可以大幅下降,而不減損崩潰電壓。

    鰭式電晶體所遭遇到的第二個挑戰是其等效通道寬度只能是非連續的特定值。由於整片晶圓上的所有鰭式電晶體的通道寬度(fin width)與高度(fin height)皆相同,改變鰭的根數是調變電晶體等效通道寬度的唯一方法。由於鰭的根數一定是整數,所以在固定電壓下,電晶體的電流也只能是不連續的特定值。對於靜態隨機存取記憶體來說,其上拉(pull-up)電晶體相較於閘門(pass-gate)電晶體的電流比例(上拉比例pull-up ratio)必須是某個小於1的特定值,才能有最好的寫入能力與良率。然而,當鰭式電晶體的電流只能是特定值的時候,這個比例將難以被達成。本論文的第四章提出了一個新的方法以達成這個比例。藉由插入一個薄的氧化層在鰭通道內,將鰭通道將分割成上通道和下通道。接著,藉由重摻雜上拉電晶體的上通道使其不導通,上拉電晶體的導通電流將由僅存的下通道高度來決定,氧化層的位置越低,下通道高度就越低,上拉電晶體的導通電流由氧化層的位置來決定。

    鰭式電晶體所遭遇到的第三個挑戰,在於其短通道效應的抑制能力不足以應付元件的持續微縮。今天,大部份的學者專家都認為,當未來電晶體的閘極長度小於15奈米的時候,現有的鰭式電晶體將被閘極全包覆式電晶體(Gate-all-around transistor)所取代。然而,閘極全包覆式電晶體的缺點在於,奈米線(nanowire)與奈米線間的垂直間距至少需要大於10奈米,才能提供足夠的空間來填充具有一定厚度的功函數金屬(work function metal)。因此,在一樣的元件高度下,所能堆疊的奈米線數目將十分有限,導通電流不高。僅管,有學者專家提出將奈米線拓寬成奈米片(nanosheet)來增加導通電流,這個方式會增加電晶體面積導致成本增加。本論文的第五章提出了一個新的高介電係數插入氧化層鰭式電晶體(high-permittivity inserted-oxide FinFET, iFinFET)來提升電流。藉由利用一個超薄(約3奈米厚)的高介電係數材料來取代原本奈米線間10奈米間距的功函數金屬,相同元件高度下可以堆疊更多的奈米線。

    最後,本論文的第六章提出了一種新型態的混合靜態隨機存取記憶體。藉由使用高電流的插入氧化層鰭式電晶體當作閘門(pass-gate)與下拉(pull-down)電晶體,再使用低電流但低漏電的閘極全包覆式電晶體當做上拉(pull-up)電晶體,靜態隨機存取記憶體的上拉比率得以最佳化,使得良率提升,最小操作電壓下降,功率消耗減少,記憶體面積與存取時間保持不變。本論文的第六章也針對了最近提出的叉子記憶體(Forksheet SRAM)進行了完整的分析。

    This simulation-based dissertation addresses challenges for the state-of-the-art fin field-effect transistor (FET) and succeeding gate-all-around (GAA) transistors. Compared with the planar-transistor process, the first challenge of the FinFET process is the degraded performance in laterally diffused metal-oxide-semiconductor (LDMOS) FETs due to the higher on-state resistance in the fin-type drift region. This problem is solved in Chapter 3 by incorporating a bulk drift region of the planar LDMOS process into the FinFET LDMOS process so that its on-state resistance is significantly reduced without reducing the breakdown voltage.

    The second challenge comes from discrete values of the drive current for the FinFET. For the FinFET, the fin width and fin height are identical for all transistors inside the chip. Changing the number of fins is the only way to adjust the transistor drive strength at a discrete level. Thus, the performance and yield of FinFET-based six-transistor random access memory (6T SRAM) could not be optimized because its pull-up ratio (Ion of pull-up transistors divided by Ion of pass-gate transistors) could not be any value. This challenge is solved in Chapter 4 by inserting a thin buried oxide into the fin to divide it into two separate nanowires (NWs). The upper fin part (upper NW) for the PU transistor is heavily doped to render it nonconductive. The drive strength of the PU transistor is determined by the height of the lower conductive fin part (lower NW) which is determined by the vertical position of buried oxide. Thus, by optimizing the position of buried oxide and heavily doping the upper NWs of the PU transistor, the pull-up ratio of the SRAM could be any value so that the yield and performance of the SRAM are optimized.

    The third challenge is the insufficient short-channel effect control of the FinFET when the physical gate length is less than 15 nm. Today, most researchers believe that the GAA nanowire FET (GAA NWFET) will replace the FinFET in the foreseeable future. However, the main drawback of the GAA NWFET is its low on-state current. For the GAA NWFET, the vertical spacing between NWs must be larger than 10 nm to accommodate the work function (WF) metals so that the number of vertical stacked NWs is relatively low. Although the on-state current of GAA NWFET could be enhanced by increasing the NW width to form the nanosheets, it increases the fabrication cost due to larger layout area. In Chapter 5, a new high-permittivity inserted-oxide FinFET (iFinFET) is proposed to increase the current of the GAA NWFET by using an ultra-thin inserted-oxide to replace the WF metal between NWs so that more NWs can be vertically stacked.

    Finally, a hybrid 6T SRAM cell is proposed in Chapter 6 by integrating the iFinFET with the GAA transistor. The hybrid 6T SRAM improves the yield and reduces the minimum operating voltage and power consumption without increasing the layout area and memory access time. The recently proposed forksheet SRAM is also analyzed in Chapter 6.

    Contents 摘要 I Abstract II Acknowledgments III 誌謝 (Acknowledgments in Traditional Chinese) IV Contents V Table Captions VII Figure Captions VIII Chapter 1 Introduction 1 1.1 Conventional Transistor Scaling 1 1.2 Transistor Architecture Evolution: FinFET Technology 3 1.3 The Challenge of FinFET Technology 8 1.3.1 Worse LDMOS Performance 8 1.3.2 Discrete Effective Channel Width 9 1.3.3 Insufficient Gate Controllability in the Future 11 1.4 The Framework of this thesis 13 Chapter 2 Fundamental Theorem 14 2.1 LDMOS in the Output Stage 14 2.1.1 Figure of Merit for Static Operation 14 2.1.2 Figure-of-Merits of Dynamic Operation 22 2.2 6T SRAM 24 2.2.1 Hold Stability 24 2.2.2 Read Stability 25 2.2.3 Write Ability 27 2.2.4 Transistor Drivability Trade-off and Layout 29 2.2.5 A Compact Model to Project the SRAM Yield 32 2.2.6 SRAM Yield Projected by the Compact Model 40 2.3 Series Resistance Extraction 43 2.4 The Fabrication Process of FinFET 45 Chapter 3 LDMOS with Low On-State Resistance Enabled by Hybrid Fin/Planar Structure 51 3.1 Introduction 51 3.2 Hybrid FET Structure and Fabrication Process 52 3.3 Hybrid FET Design and Optimization 54 3.3.1 Lplanar Optimization 54 3.3.2 Ldrift, NLDD, Lch, and Lwell Optimization 57 3.4 Total Power Loss 61 3.5 Compared with RESURF Structures 63 3.6 Summary 63 Chapter 4 Current Ratio Adjustable SRAM with Inserted-Oxide FinFET 64 4.1 Introduction 64 4.2 Device Structure and Process Simulation 65 4.3 iFinFET Drive Strength Tuning Scheme 70 4.4 SRAM Cell Performance and Minimum Operating Voltage (Vmin) Projections 75 4.5 Summary 77 Chapter 5 High-Permittivity Inserted-Oxide FinFET to Enable Continuous Transistor Scaling 78 5.1 Introduction 78 5.2 Device Architecture and Process Emulation 79 5.3 Inserted-Oxide and Inner Spacer Optimization 83 5.4 iFinFET with More Vertically Stacked NWs 87 5.5 Comparison Between iFinFET and NSFET 91 5.6 Summary 92 5.7 Appendix: Characteristic Length Calculation 92 Chapter 6 SRAM Designs with Inserted-Oxide FinFETs and Gate-All-Around Transistors 95 6.1 Introduction 95 6.2 Transistor Designs and Layout of SRAM Cells 95 6.3 Simulation Framework and Cell Performance 98 6.4 Hybrid SRAM Cell Design to Reduce Minimum Operating Voltage (Vmin) 103 6.5 Summary 110 Chapter 7 Conclusion 111 References 112 VITAE of Yi-Ting Wu (吳奕廷 簡歷) 123 Selected Publication List of Yi-Ting Wu 124

    [1] J. S. Kilby, "Invention of the integrated circuit," in IEEE Transactions on Electron Devices, vol. 23, no. 7, pp. 648-654, July 1976, doi: 10.1109/T-ED.1976.18467.
    [2] C. -H. Jan et al., "A 22nm SoC platform technology featuring 3-D tri-gate and high-k/metal gate, optimized for ultra low power, high performance and high density SoC applications," 2012 International Electron Devices Meeting, 2012, pp. 3.1.1-3.1.4, doi: 10.1109/IEDM.2012.6478969.
    [3] B. Nikolic, “EE241B: Advanced Digital Integrated Circuits: Lecture 1 –Introduction,” [Online]. Available: https://inst.eecs.berkeley.edu/~ee241/sp20/Lectures/Lecture1-Intro-annotated.pdf.
    [4] M. T. Bohr, "Logic Technology Scaling to Continue Moore's Law," 2018 IEEE 2nd Electron Devices Technology and Manufacturing Conference (EDTM), 2018, pp. 1-3, doi: 10.1109/EDTM.2018.8421433.
    [5] T. Ghani et al., "A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors," IEEE International Electron Devices Meeting 2003, 2003, pp. 11.6.1-11.6.3, doi: 10.1109/IEDM.2003.1269442.
    [6] K. Mistry et al., "A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging," 2007 IEEE International Electron Devices Meeting, 2007, pp. 247-250, doi: 10.1109/IEDM.2007.4418914.
    [7] R. Brain et al., "Low-k interconnect stack with a novel self-aligned via patterning process for 32nm high volume manufacturing," 2009 IEEE International Interconnect Technology Conference, 2009, pp. 249-251, doi: 10.1109/IITC.2009.5090400.
    [8] R. Brain, "Interconnect scaling: Challenges and opportunities," 2016 IEEE International Electron Devices Meeting (IEDM), 2016, pp. 9.3.1-9.3.4, doi: 10.1109/IEDM.2016.7838381.
    [9] C. Auth et al., "A 10nm high performance and low-power CMOS technology featuring 3rd generation FinFET transistors, Self-Aligned Quad Patterning, contact over active gate and cobalt local interconnects," 2017 IEEE International Electron Devices Meeting (IEDM), 2017, pp. 29.1.1-29.1.4, doi: 10.1109/IEDM.2017.8268472.
    [10] K. Mistry, “10nm technology leadership,” 2017 Intel Technology and Manufacturing Day, 2017. [Online]. Available: https://newsroom.intel.com/newsroom/wp-content/uploads/sites/11/2017/03/Kaizad-Mistry-2017-Manufacturing.pdf.
    [11] C. Hu, “FinFET 3D Transistor & the Concept Behind It,” August, 2011. [Online]. Available: https://microlab.berkeley.edu/text/seminars/slides/2011-8_FinFET_and_the_Concept_Behind_It.pdf.
    [12] W. S. Sie et al., "High-k metal gate poly opening polish at 28nm technology polish rate and selective study," Proceedings of International Conference on Planarization/CMP Technology 2014, 2014, pp. 183-185, doi: 10.1109/ICPT.2014.7017275.
    [13] “28 nm lithography process,” in Wikichip. [Online]. Available: https://en.wikichip.org/wiki/28_nm_lithography_process.
    [14] Yang-Kyu Choi et al., "Ultrathin-body SOI MOSFET for deep-sub-tenth micron era," in IEEE Electron Device Letters, vol. 21, no. 5, pp. 254-255, May 2000, doi: 10.1109/55.841313.
    [15] D. Hisamoto et al., "FinFET-a self-aligned double-gate MOSFET scalable to 20 nm," in IEEE Transactions on Electron Devices, vol. 47, no. 12, pp. 2320-2325, Dec. 2000, doi: 10.1109/16.887014.
    [16] J. P. Colinge, FinFETs and Other Multi-Gate Transistors, New York, Springer Science, 2008, ch.1.5.1, 2 and 4, pp.17-23, 49-111, 172-173.
    [17] M. Reiche, M. Kittler, A. Hahnel and T. Arguirov, “Structure and properties of dislocations in interfaces of bonded silicon wafer”, in Journal of Physics: Conference Series, vol. 281, issue 1, 2011, doi:10.1088/1742-6596/281/1/012017.
    [18] Yang-Kyu Choi, Tsu-Jae King and Chenming Hu, "A spacer patterning technology for nanoscale CMOS," in IEEE Transactions on Electron Devices, vol. 49, no. 3, pp. 436-441, March 2002, doi: 10.1109/16.987114.
    [19] P. Xu, Y. Chen, Y. Chen, L. Miao, S. Sun, S. W. Kim, A. Berger, D. Mao, C. Bencher, R. Hung and C. Ngai, “Sidewall spacer quadruple patterning for 15 nm half-pitch,” in Proc. SPIE, Opt. Microlithography XXIV, vol. 7973, pp. 79731Q-1–79731Q-12, Mar. 2011. doi:10.1117/12.881547.
    [20] T. J. King Liu, “FinFET history, fundamentals and future,” 2012 Symposium on VLSI Technology (VLSI Technology) Short Course, 2012. [Online]. Available: http://people.eecs.berkeley.edu/~tking/presentations/KingLiu_2012VLSI-Tshortcourse.
    [21] S. M. Y. Sherazi, B. Chava, P. Debacker, M. G. Bardon, P. Schuddinck, F. Firouzi, P. Raghavan, A. Mercha, D. Verkest and J. Rychaert., “Architectural strategies in standard-cell design for the 7 nm and beyond technology node,” in Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 15, no. 1, pp. 013507-1-013507-11, Jan.-Mar. 2016. doi: 10.1117/1.JMM.15.1.013507.
    [22] M. Bohr, “14nm Process Technology: Opening New horizons,” 2014 Intel Developer Forum, 2014. [Online]. Available: https://www.intel.com/content/dam/www/public/us/en/documents/technology-briefs/bohr-14nm-idf-2014-brief.pdf.
    [23] S. C. Song et al., "Holistic technology optimization and key enablers for 7nm mobile SoC," 2015 Symposium on VLSI Technology (VLSI Technology), 2015, pp. T198-T199, doi: 10.1109/VLSIT.2015.7223646.
    [24] M. G. Bardon et al., "Dimensioning for power and performance under 10nm: The limits of FinFETs scaling," 2015 International Conference on IC Design & Technology (ICICDT), 2015, pp. 1-4, doi: 10.1109/ICICDT.2015.7165883.
    [25] J. Singh, S. P. Mohanty and D. K. Pradhan, Robust SRAM Designs and Analysis, New York, Springer Science, 2013, ch.1-2, pp.1-42.
    [26] J. Wang, H. An, Q. Zhang, H. S. Kim, D. Blaauw and D. Sylvester, "1.03pW/b Ultra-Low Leakage Voltage-Stacked SRAM for Intelligent Edge Processors," 2020 IEEE Symposium on VLSI Circuits, 2020, pp. 1-2, doi: 10.1109/VLSICircuits18222.2020.9162843.
    [27] (2020). International Roadmap for Devices and Systems (IRDS). [Online]. Available: https://irds.ieee.org/images/files/pdf/2020/2020IRDS_BC.pdf
    [28] H. Mertens et al., "Vertically stacked gate-all-around Si nanowire CMOS transistors with dual work function metal gates," 2016 IEEE International Electron Devices Meeting (IEDM), 2016, pp. 19.7.1-19.7.4, doi: 10.1109/IEDM.2016.7838456.
    [29] N. Loubet et al., "Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET," 2017 Symposium on VLSI Technology, 2017, pp. T230-T231, doi: 10.23919/VLSIT.2017.7998183.
    [30] P. Weckx et al., "Novel forksheet device architecture as ultimate logic scaling device towards 2nm," 2019 IEEE International Electron Devices Meeting (IEDM), 2019, pp. 36.5.1-36.5.4, doi: 10.1109/IEDM19573.2019.8993635.
    [31] H. Mertens et al., "Forksheet FETs for Advanced CMOS Scaling: Forksheet-Nanosheet Co-Integration and Dual Work Function Metal Gates at 17nm N-P Space," 2021 Symposium on VLSI Technology, 2021, pp. 1-2.
    [32] J. Zhang et al., "High-k metal gate fundamental learning and multi-Vt options for stacked nanosheet gate-all-around transistor," 2017 IEEE International Electron Devices Meeting (IEDM), 2017, pp. 22.1.1-22.1.4, doi: 10.1109/IEDM.2017.8268438.
    [33] M. K. Gupta et al., "A Comprehensive Study of Nanosheet and Forksheet SRAM for Beyond N5 Node," in IEEE Transactions on Electron Devices, vol. 68, no. 8, pp. 3819-3825, Aug. 2021, doi: 10.1109/TED.2021.3088392.
    [34] Y. Wu et al., "Simulation-Based Study of Hybrid Fin/Planar LDMOS Design for FinFET-Based System-on-Chip Technology," in IEEE Transactions on Electron Devices, vol. 64, no. 10, pp. 4193-4199, Oct. 2017, doi: 10.1109/TED.2017.2736442.
    [35] Y. Wu, M. Chiang, J. F. Chen, F. Ding, D. Connelly and T. K. Liu, "High-density SRAM voltage scaling enabled by inserted-oxide FinFET technology," 2017 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), 2017, pp. 1-3, doi: 10.1109/S3S.2017.8309217.
    [36] Y. Wu, F. Ding, D. Connelly, M. Chiang, J. F. Chen and T. K. Liu, "Simulation-Based Study of High-Density SRAM Voltage Scaling Enabled by Inserted-Oxide FinFET Technology," in IEEE Transactions on Electron Devices, vol. 66, no. 4, pp. 1754-1759, April 2019, doi: 10.1109/TED.2019.2900921.
    [37] Y. -T. Wu, M. -H. Chiang, J. F. Chen and T. -J. K. Liu, "Simulation-Based Study of High-Permittivity Inserted-Oxide FinFET With Low-Permittivity Inner Spacers," in IEEE Transactions on Electron Devices, vol. 68, no. 11, pp. 5529-5534, Nov. 2021, doi: 10.1109/TED.2021.3114668.
    [38] C. -H. Jan et al., "A 14 nm SoC platform technology featuring 2nd generation Tri-Gate transistors, 70 nm gate pitch, 52 nm metal pitch, and 0.0499 um2 SRAM cells, optimized for low power, high performance and high density SoC products," 2015 Symposium on VLSI Circuits (VLSI Circuits), 2015, pp. T12-T13, doi: 10.1109/VLSIC.2015.7231380.
    [39] J. Singh et al., "FinFET LDMOS technology challenges and opportunities for digital TV and 6GHz WiFi PA applications," 2021 Symposium on VLSI Technology, 2021, pp. 1-2.
    [40] T. Erlbacher, Lateral Power Transistors in Integrated Circuits, Springer International Publishing, Oct. 2014, pp.46-51, 53-55, 59-62, and 84-87. doi: 10.1007/978-3-319-00500-3
    [41] M. Shrivastava, M. S. Baghini, H. Gossner and V. R. Rao, "Part I: Mixed-Signal Performance of Various High-Voltage Drain-Extended MOS Devices," in IEEE Transactions on Electron Devices, vol. 57, no. 2, pp. 448-457, Feb. 2010, doi: 10.1109/TED.2009.2036796.
    [42] J. Singh et al., "Analog, RF, and ESD device challenges and solutions for 14nm FinFET technology and beyond," 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, 2014, pp. 1-2, doi: 10.1109/VLSIT.2014.6894378.
    [43] J. F. Chen, K. Tian, S. Chen, K. Wu and C. M. Liu, "On-Resistance Degradation Induced by Hot-Carrier Injection in LDMOS Transistors With STI in the Drift Region," in IEEE Electron Device Letters, vol. 29, no. 9, pp. 1071-1073, Sept. 2008, doi: 10.1109/LED.2008.2001969.
    [44] D. Yang, Y. Ding and S. Huang, "A 65-nm High-Frequency Low-Noise CMOS-Based RF SoC Technology," in IEEE Transactions on Electron Devices, vol. 57, no. 1, pp. 328-335, Jan. 2010, doi: 10.1109/TED.2009.2034994.
    [45] J. Lee, H. Su, C. Chan, D. Yang, J. F. Chen and K. Wu, "The influence of the layout on the ESD performance of HV-LDMOS," 2010 22nd International Symposium on Power Semiconductor Devices & IC's (ISPSD), 2010, pp. 303-306.
    [46] S. T. Kong, P. Stribley, C. Lee and M. Ong, "Integration of 100V LDMOS devices in 0.35μm CMOS technology," 2011 IEEE 23rd International Symposium on Power Semiconductor Devices and ICs, 2011, pp. 176-179, doi: 10.1109/ISPSD.2011.5890819.
    [47] A. Gupta, M. Shrivastava, M. S. Baghini, D. K. Sharma, H. Gossner and V. R. Rao, "Part I: High-Voltage MOS Device Design for Improved Static and RF Performance," in IEEE Transactions on Electron Devices, vol. 62, no. 10, pp. 3168-3175, Oct. 2015, doi: 10.1109/TED.2015.2470117.
    [48] B. J. Baliga, Fundamentals of Power Semiconductor Devices, Springer Science, 2008, ch. 2.1.6 and 3.1-3.2, pp. 37 and 92-100.
    [49] S. M. Sze and K. K. Ng, Physics of Semiconductor Devices, 3nd ed., NJ, USA, John Wiley & Sons, Apr. 2006, pp. 83 and 108. doi: 10.1002/0470068329.
    [50] Y. Taur, Fundamentals of Modern VLSI Devices, 2nd ed., Singapore, Cambridge University Press, 2010, ch. 2.5.1 and 3.1.3, pp. 122-125 and 163-166.
    [51] W. Fulop, “Calculation of avalanche breakdown voltage of silicon p-n junctions,” in Solid-State Electronics, vol. 10, pp. 39–43, 1967, doi:10.1016/0038-1101(67)90111-6
    [52] A. Q. Huang, "New unipolar switching power device figures of merit," in IEEE Electron Device Letters, vol. 25, no. 5, pp. 298-301, May 2004, doi: 10.1109/LED.2004.826533.
    [53] A. E. Carlson, “Device and circuit techniques for reducing variation in nanoscale SRAM,” Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., Univ. California Berkeley, Berkeley, CA, USA, 2008. pp. 23-51. [Online]. Available: https://people.eecs.berkeley.edu/~tking/theses/acarlson.pdf
    [54] V. P. Hu, M. Fan, C. Hsieh, P. Su and C. Chuang, "FinFET SRAM Cell Optimization Considering Temporal Variability Due to NBTI/PBTI, Surface Orientation and Various Gate Dielectrics," in IEEE Transactions on Electron Devices, vol. 58, no. 3, pp. 805-811, March 2011, doi: 10.1109/TED.2010.2099661.
    [55] C. -C. Chung, H. -C. Lin, B. -W. Huang, C. -J. Tsen and C. W. Liu, "Architecture and Optimization of 2T (Footprint) SRAM," in IEEE Transactions on Electron Devices, vol. 68, no. 10, pp. 4918-4924, Oct. 2021, doi: 10.1109/TED.2021.3107474.
    [56] Y. Liao, M. Chiang, N. Damrongplasit, W. Hsu and T. K. Liu, "Design of Gate-All-Around Silicon MOSFETs for 6-T SRAM Area Efficiency and Yield," in IEEE Transactions on Electron Devices, vol. 61, no. 7, pp. 2371-2377, July 2014, doi: 10.1109/TED.2014.2323059.
    [57] F. Ding, “Device for performance and reliability in advanced CMOS Structures,” Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., Univ. California Berkeley, Berkeley, CA, USA, 2020. [Online]. Available: http://www2.eecs.berkeley.edu/Pubs/TechRpts/2020/EECS-2020-41.pdf
    [58] C. Hu, Modern Semiconductor Devices for Integrated Circuits, New Jersey, Pearson Education Incorporation, 2010, ch. 6.9, pp.238-243. [Online]. Available: https://www.chu.berkeley.edu/modern-semiconductor-devices-for-integrated-circuits-chenming-calvin-hu-2010/
    [59] C. T. Su, Quality Engineering, CRC Press, 2013, doi:10.1201/b13909.
    [60] Montgomery, Design and Analysis of Experiments, 10ed, John Wiley & Sons Inc., 2020.
    [61] Montgomery, Introduction to Statistical Quality Control, 8ed, John Wiley & Sons Inc., 2019.
    [62] D. Lin, M. Cheng, S. Wang, C. Wu and M. Chen, "A Constant-Mobility Method to Enable MOSFET Series-Resistance Extraction," in IEEE Electron Device Letters, vol. 28, no. 12, pp. 1132-1134, Dec. 2007, doi: 10.1109/LED.2007.909850.
    [63] F. H. De La Moneda, H. N. Kotecha and M. Shatzkes, "Measurement of MOSFET constants," in IEEE Electron Device Letters, vol. 3, no. 1, pp. 10-12, Jan. 1982, doi: 10.1109/EDL.1982.25456.
    [64] D. K. Schroder, Semiconductor Material and Device Characterization, 3nd ed., NJ, USA, John Wiley & Sons, Apr. 2005, ch. 8, pp. 494. doi: 10.1002/0471749095
    [65] Z. Liu et al., "Direct Partition Measurement of Parasitic Resistance Components in Advanced Transistor Architectures," 2019 Symposium on VLSI Technology, 2019, pp. T146-T147, doi: 10.23919/VLSIT.2019.8776477.
    [66] J. P. Campbell, K. P. Cheung, J. S. Suehle and A. Oates, "A Simple Series Resistance Extraction Methodology for Advanced CMOS Devices," in IEEE Electron Device Letters, vol. 32, no. 8, pp. 1047-1049, Aug. 2011, doi: 10.1109/LED.2011.2158183.
    [67] J. D. Plummer, M. D. Deal and P. B. Griffin, Silicon VLSI Technology, New Jersey, Prentice Hall Incorporation, 2000, ch. 2, pp.49-92.
    [68] M. Glodde, S. Engelmann, M. Guillorn et al., “Systematic Studies on Reactive-Ion-Etched-Induced Defrmations of Organic Underlayers,” in Proc. of SPIE, vol. 7972, pp. 797216-1 to 797216-8, April 2011, doi: 10.1117/12.879442.
    [69] A. L. S. Loke et al., "Analog/mixed-signal design challenges in 7-nm CMOS and beyond," 2018 IEEE Custom Integrated Circuits Conference (CICC), 2018, pp. 1-8, doi: 10.1109/CICC.2018.8357060.
    [70] “Self-aligned contact,” in Wikichip. [Online]. Available: https://en.wikichip.org/wiki/self-aligned_contact
    [71] C. Bulucea, S. R. Bahl, W. D. French, J. J. Yang, P. Francis, T. Harjono, V. Krishnamurthy, J. Tao and C. Parker, "Physics, Technology, and Modeling of Complementary Asymmetric MOSFETs," in IEEE Transactions on Electron Devices, vol.57, no.10, pp. 2363-2380, Oct. 2010. doi: 10.1109/TED.2010.2057197.
    [72] T. Fujihira, “Theory of semiconductor super junction devices,” Jpn. J. Appl. Phys., vol. 36, no. 10R, pp. 6254–6262, Oct. 1997. doi: 10.1143/JJAP.36.6254.
    [73] J. Sonsky and A. Heringa, "Dielectric resurf: breakdown voltage control by STI layout in standard CMOS," IEEE International Electron Devices Meeting, 2005. IEDM Technical Digest., Washington, DC, 2005, pp. 372-376. doi: 10.1109/IEDM.2005.1609354.
    [74] A. Yoo, Y. Onish, E. Xu and W. T. Ng, "A Low-Voltage Lateral SJ-FINFET With Deep-Trench p-Drift Region," in IEEE Electron Device Letters, vol. 30, no. 8, pp. 858-860, Aug. 2009. doi: 10.1109/LED.2009.2024013.
    [75] M. Shrivastava, H. Gossner and V. R. Rao, "A Novel Drain-Extended FinFET Device for High-Voltage High-Speed Applications," in IEEE Electron Device Letters, vol. 33, no. 10, pp. 1432-1434, Oct. 2012. doi: 10.1109/LED.2012.2206791.
    [76] Y. Guo, J. Yao, B. Zhang, H. Lin and C. Zhang, "Variation of Lateral Width Technique in SoI High-Voltage Lateral Double-Diffused Metal–Oxide–Semiconductor Transistors Using High-k Dielectric," in IEEE Electron Device Letters, vol. 36, no. 3, pp. 262-264, Mar. 2015. doi: 10.1109/LED.2015.2393913.
    [77] X. Luo, D. Ma, Q. Tan, J. Wei, J. Wu, K. Zhou, T. Sun, Q. Liu, B. Zhang and Z. Li, "A Split Gate Power FINFET With Improved ON-Resistance and Switching Performance," in IEEE Electron Device Letters, vol. 37, no. 9, pp. 1185-1188, Sept. 2016. doi: 10.1109/LED.2016.2591780.
    [78] J. Y. Tsai, H. H. Hu, Y. C. Wu, Y. R. Jhan, K. M. Chen and G. W. Huang, "A Novel Hybrid Poly-Si Nanowire LDMOS With Extended Drift," in IEEE Electron Device Letters, vol. 35, no. 3, pp. 366-368, March 2014. doi: 10.1109/LED.2014.2299811.
    [79] P. Zheng, D. Connelly, F. Ding and T. J. K. Liu, "Simulation-Based Study of the Inserted-Oxide FinFET for Future Low-Power System-on-Chip Applications," in IEEE Electron Device Letters, vol. 36, no. 8, pp. 742-744, Aug. 2015. doi: 10.1109/LED.2015.2438856.
    [80] (2015) International Technology Roadmap for Semiconductors (ITRS). [Online]. Available: http://www.itrs2.net/itrs-reports.html
    [81] P. Packan, S. Thompson, E. Andideh, S. Yu, T. Ghani, M. Giles, J. Sandford and M. Bohr, "Modeling solid source boron diffusion for advanced transistor applications," Electron Devices Meeting, 1998. IEDM '98. Technical Digest., International, San Francisco, CA, USA, Dec. 1998, pp. 505-508. doi: 10.1109/IEDM.1998.746408.
    [82] Z. Cao, B. Duan, H. Cai, S. Yuan and Y. Yang, "Theoretical Analyses of Complete 3-D Reduced Surface Field LDMOS With Folded-Substrate Breaking Limit of Superjunction LDMOS," in IEEE Transactions on Electron Devices, vol. 63, no. 12, pp. 4865-4872, Dec. 2016. doi: 10.1109/TED.2016.2615654.
    [83] X. Luo, M. Lv, C. Yin, J. Wei, K. Zhou, Z. Zhao, T. Sun, B. Zhang and Z. Li, "Ultralow ON-Resistance SOI LDMOS With Three Separated Gates and High-k Dielectric," in IEEE Transactions on Electron Devices, vol. 63, no. 9, pp. 3804-3807, Sept. 2016. doi: 10.1109/TED.2016.2589322.
    [84] ASML TWINSCAN NXT:1950i datasheet. [Online]. Available: https://www.asml.com/twinscan-nxt1950i/en/s46772?dfp_product_id=822
    [85] D. Burnett, S. Parihar, H. Ramamurthy and S. Balasubramanian, "FinFET SRAM design challenges," 2014 IEEE International Conference on IC Design & Technology, Austin, TX, 2014, pp. 1-4. doi: 10.1109/ICICDT.2014.6838606
    [86] V. Vashishtha, M. Vangala, P. Sharma and L. T. Clark, "Robust 7-nm SRAM design on a predictive PDK," 2017 IEEE International Symposium on Circuits and Systems (ISCAS), Baltimore, MD, 2017, pp. 1-4. doi: 10.1109/ISCAS.2017.8050316
    [87] M. F. Chang, C. F. Chen, T. H. Chang, C. C. Shuai, Y. Y. Wang, Y. J. Chen and H. Yamauchi, "A Compact-Area Low-VDDmin 6T SRAM With Improvement in Cell Stability, Read Speed, and Write Margin Using a Dual-Split-Control-Assist Scheme," in IEEE Journal of Solid-State Circuits, vol. 52, no. 9, pp. 2498-2514, Sept. 2017. doi: 10.1109/JSSC.2017.2701547
    [88] V. Nautiyal, G. Singla, S. Singh, F. A. Bohra, J. Dasani and L. Gupta, "Charge recycled low power SRAM with integrated write and read assist, for wearable electronics, designed in 7nm FinFET," 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), Taipei, 2017, pp. 1-6. doi: 10.1109/ISLPED.2017.8009154
    [89] A. Goel, S. K. Gupta and K. Roy, "Asymmetric Drain Spacer Extension (ADSE) FinFETs for Low-Power and Robust SRAMs," in IEEE Transactions on Electron Devices, vol. 58, no. 2, pp. 296-308, Feb. 2011. doi: 10.1109/TED.2010.2090421
    [90] H. Kawasaki, K. Okano, A. Kaneko, A. Yagishita, T. Izumida, T. Kanemura, K. Kasai, T. Ishida, T. Sasaki, Y. Takeyama, N. Aoki, N. Ohtsuka, K. Suguro, K. Eguchi, Y. Tsunashima, S. Inaba, K. Ishimaru and H. Ishiuchi, "Embedded Bulk FinFET SRAM Cell Technology with Planar FET Peripheral Circuit for hp32 nm Node and Beyond," 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers., Honolulu, HI, 2006, pp. 70-71. doi: 10.1109/VLSIT.2006.1705221
    [91] F. Ding, P. Zheng, D. Connelly, Y. T. Wu and T. J. K. Liu, "Cell Ratio Tuning for High-Density SRAM Voltage Scaling With Inserted-Oxide FinFETs," in IEEE Electron Device Letters, vol. 37, no. 12, pp. 1539-1542, Dec. 2016. doi: 10.1109/LED.2016.2615522
    [92] P. Zheng, D. Connelly, F. Ding and T. J. K. Liu, "FinFET Evolution Toward Stacked-Nanowire FET for CMOS Technology Scaling," in IEEE Transactions on Electron Devices, vol. 62, no. 12, pp. 3945-3950, Dec. 2015. doi: 10.1109/TED.2015.2487367
    [93] A. Nainani, S. Gupta, V. Moroz, M. Choi, Y. Kim, Y. Cho, J. Gelatos, T. Mandekar, A. Brand, E.-X. Ping, M. C. Abraham and K. Schuegraf, "Is strain engineering scalable in FinFET era?: Teaching the old dog some new tricks," 2012 International Electron Devices Meeting, San Francisco, CA, 2012, pp. 18.3.1-18.3.4. doi: 10.1109/IEDM.2012.6479065
    [94] H. Niimi, Z. Liu, O. Gluschenkov, S. Mochizuki, J. Fronheiser, J. Li, J. Demarest, C. Zhang, B. Liu, J. Yang, M. Raymond, B. Haran, H. Bu and T. Yamashita, "Sub-10-9Ω-cm2 n-Type Contact Resistivity for FinFET Technology," in IEEE Electron Device Letters, vol. 37, no. 11, pp. 1371-1374, Nov. 2016. doi: 10.1109/LED.2016.2610480
    [95] P. Feng , S. C. Song, G. Nallapati, J. Zhu, J. Bao , V. Moroz, M. Choi, X. W. Lin, Q. Lu, B. Colombeau, N. Breil, M. Chudzik and C. Chidambaram, "Comparative Analysis of Semiconductor Device Architectures for 5-nm Node and Beyond," in IEEE Electron Device Letters, vol. 38, no. 12, pp. 1657-1660, Dec. 2017. doi: 10.1109/LED.2017.2769058
    [96] D. Guo, S. Mochizuki, A. Scholze, C. Yeh, "Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction." U.S. Patent 9,786,661, Oct., 10, 2017.
    [97] M. G. Bardon, V. Moroz†, G. Eneman, P. Schuddinck, M. Dehan, D. Yakimets, D. Jang, G. Van der Plas, A. Mercha, A. Thean, D. Verkest and A. Steegen, "Layout-induced stress effects in 14nm & 10nm FinFETs and their impact on performance," 2013 Symposium on VLSI Circuits, Kyoto, 2013, pp. T114-T115.
    [98] A. Wettstein, O. Penzin, E. Lyumkis and W. Fichtner, "Random dopant fluctuation modelling with the impedance field method," International Conference on Simulation of Semiconductor Processes and Devices, 2003. SISPAD 2003., Boston, MA, USA, 2003, pp. 91-94. doi: 10.1109/SISPAD.2003.1233645
    [99] X. Wang, A. R. Brown, N. Idris, S. Markov, G. Roy and A. Asenov, "Statistical Threshold-Voltage Variability in Scaled Decananometer Bulk HKMG MOSFETs: A Full-Scale 3-D Simulation Scaling Study," in IEEE Transactions on Electron Devices, vol. 58, no. 8, pp. 2293-2301, Aug. 2011. doi: 10.1109/TED.2011.2149531
    [100] N. Yoshida, S. Hassan, W. Tang, Y. Yang, W. Zhang, S. C. Chen, L. Dong, H. Zhou, M. Jin, M. Okazaki, J. Park, N. Bekiaris, R. Hung, J. Zhou, Y. Lei, P. Ma, X. Tang, T. Miyashita, N. Kim and E. Yieh, "Highly conductive metal gate fill integration solution for extremely scaled RMG stack for 5 nm & beyond," 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2017, pp. 22.2.1-22.2.4. doi: 10.1109/IEDM.2017.8268439
    [101] O. Kononchuk, D. Landru and C. Veytizou, "Novel Trends in SOI Technology for CMOS Applications", in Solid State Phenomena, Vols. 156-158, pp. 69-76, 2010. Oct. doi: 10.4028/www.scientific.net/SSP.156-158.69
    [102] G. Yeap et al., "5nm CMOS Production Technology Platform featuring full-fledged EUV, and High Mobility Channel FinFETs with densest 0.021µm2 SRAM cells for Mobile SoC and High Performance Computing Applications," 2019 IEEE International Electron Devices Meeting (IEDM), 2019, pp. 36.7.1-36.7.4, doi: 10.1109/IEDM19573.2019.8993577.
    [103] D. Jang et al., "Device Exploration of NanoSheet Transistors for Sub-7-nm Technology Node," in IEEE Transactions on Electron Devices, vol. 64, no. 6, pp. 2707-2713, June 2017, doi: 10.1109/TED.2017.2695455.
    [104] R. Xie et al., "A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channels," 2016 IEEE International Electron Devices Meeting (IEDM), 2016, pp. 2.7.1-2.7.4, doi: 10.1109/IEDM.2016.7838334.
    [105] H. Mertens et al., "Vertically stacked gate-all-around Si nanowire transistors: Key Process Optimizations and Ring Oscillator Demonstration," 2017 IEEE International Electron Devices Meeting (IEDM), 2017, pp. 37.4.1-37.4.4, doi: 10.1109/IEDM.2017.8268511.
    [106] Y. Lee, C. Huffman, and S. M. George, "Selectivity in Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions," Chemistry of Materials, vol. 28, no. 21, pp. 7657–7665, Oct. 2016, doi: 10.1021/acs.chemmater.6b02543.
    [107] M Hélot, M., et al. "Plasma etching of HfO2 at elevated temperatures in chlorine-based chemistry," in Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 24, no. 1, pp. 30-40, Jan. 2006. doi: 10.1116/1.2134707.
    [108] H. Yu et al., "1.5×10−9 Ωcm2 Contact resistivity on highly doped Si:P using Ge pre-amorphization and Ti silicidation," 2015 IEEE International Electron Devices Meeting (IEDM), 2015, pp. 21.7.1-21.7.4, doi: 10.1109/IEDM.2015.7409753.
    [109] H. Yu et al., "Ultralow-resistivity CMOS contact scheme with pre-contact amorphization plus Ti (germano-)silicidation," 2016 IEEE Symposium on VLSI Technology, 2016, pp. 1-2, doi: 10.1109/VLSIT.2016.7573381.
    [110] A. Gendron-Hansen, K. Korablev, I. Chakarov, J. Egley, J. Cho and F. Benistant, "TCAD analysis of FinFET stress engineering for CMOS technology scaling," 2015 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2015, pp. 417-420, doi: 10.1109/SISPAD.2015.7292349.
    [111] P. Yang, "Effect of Gate-Line-End-Induced Stress and Its Impact on Device’s Characteristics in FinFETs," in IEEE Electron Device Letters, vol. 37, no. 7, pp. 910-912, July 2016, doi: 10.1109/LED.2016.2565901.
    [112] D. Ryu et al., "Design and Optimization of Triple-k Spacer Structure in Two-Stack Nanosheet FET From OFF-State Leakage Perspective," in IEEE Transactions on Electron Devices, vol. 67, no. 3, pp. 1317-1322, March 2020, doi: 10.1109/TED.2020.2969445.
    [113] U. K. Das and T. K. Bhattacharyya, “Parasitic Capacitances on Scaling Lateral Nanowire,” in Nanowires: Synthesis, Properties and Applications, IntechOpen, Apr. 2019, ch. 4, doi: 10.1002/0471749095. [Online]. Available: https://www.intechopen.com/books/nanowires-synthesis-properties-and-applications/parasitic-capacitances-on-scaling-lateral-nanowire
    [114] C. -T. Tu et al., "Uniform 4-Stacked Ge0.9Sn0.1 Nanosheets Using Double Ge0.95Sn0.05 Caps by Highly Selective Isotropic Dry Etch," in IEEE Transactions on Electron Devices, vol. 68, no. 4, pp. 2071-2076, April 2021, doi: 10.1109/TED.2021.3050430.
    [115] R. Ritzenthaler et al., "Vertically Stacked Gate-All-Around Si Nanowire CMOS Transistors with Reduced Vertical Nanowires Separation, New Work Function Metal Gate Solutions, and DC/AC Performance Optimization," 2018 IEEE International Electron Devices Meeting (IEDM), 2018, pp. 21.5.1-21.5.4, doi: 10.1109/IEDM.2018.8614528.
    [116] M. Ko, C. Sohn, C. Baek and Y. Jeong, "Study on a Scaling Length Model for Tapered Tri-Gate FinFET Based on 3-D Simulation and Analytical Analysis," in IEEE Transactions on Electron Devices, vol. 60, no. 9, pp. 2721-2727, Sept. 2013, doi: 10.1109/TED.2013.2272789.
    [117] J. Lee, J. -S. Yoon, S. Lee, J. Jeong and R. -H. Baek, "TCAD-Based Flexible Fin Pitch Design for 3-nm Node 6T-SRAM Using Practical Source/Drain Patterning Scheme," in IEEE Transactions on Electron Devices, vol. 68, no. 3, pp. 1031-1036, March 2021, doi: 10.1109/TED.2021.3053508.
    [118] T. Song et al., "24.3 A 3nm Gate-All-Around SRAM Featuring an Adaptive Dual-BL and an Adaptive Cell-Power Assist Circuit," 2021 IEEE International Solid- State Circuits Conference (ISSCC), 2021, pp. 338-340, doi: 10.1109/ISSCC42613.2021.9365988.
    [119] A. Mocuta, P. Weckx, S. Demuynck, D. Radisic, Y. Oniki and J. Ryckaert, "Enabling CMOS Scaling Towards 3nm and Beyond," 2018 IEEE Symposium on VLSI Technology, 2018, pp. 147-148, doi: 10.1109/VLSIT.2018.8510683.
    [120] K. El Sayed, A. Wettstein, S. D. Simeonov, E. Lyumkis and B. Polsky, "Investigation of the Statistical Variability of Static Noise Margins of SRAM Cells Using the Statistical Impedance Field Method," in IEEE Transactions on Electron Devices, vol. 59, no. 6, pp. 1738-1744, June 2012, doi: 10.1109/TED.2012.2189860.
    [121] M. J. H. van Dal et al., "Demonstration of scaled Ge p-channel FinFETs integrated on Si," 2012 International Electron Devices Meeting, 2012, pp. 23.5.1-23.5.4, doi: 10.1109/IEDM.2012.6479089.

    下載圖示 校內:立即公開
    校外:2022-05-01公開
    QR CODE