簡易檢索 / 詳目顯示

研究生: 許永隆
Hsu, Yung-Lung
論文名稱: 深次微米互補式金氧半電晶體製程影響 閘極氧化層與金屬導線可靠性的研究及模式
The Study and Modeling of Processes Affect Gate Oxide and Interconnect Reliability in Deep Submicron CMOS Technology
指導教授: 方炎坤
Fang, Yean-Kuen
學位類別: 博士
Doctor
系所名稱: 電機資訊學院 - 微電子工程研究所
Institute of Microelectronics
論文出版年: 2007
畢業學年度: 95
語文別: 英文
論文頁數: 135
中文關鍵詞: 可靠性製程閘極氧化層金屬導線
外文關鍵詞: Interconnect Reliability, Deep Submicron CMOS Technology, Gate Oxide
相關次數: 點閱:105下載:5
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 本篇論文討論深次微米互補式金氧半電晶體之製程技術中,製程影響閘極氧化層與金屬導線可靠性的研究及模式。第一部份、閘極氧化層沉積前及沉積後製程對元件的可靠性影響,第二部份、金屬導線中失效機制的可靠性研究及模式:其中包含鈷化金屬(Co salicide)的形成、雙鑲嵌銅導線的電子遷移、鎢插塞(W-plug)通道於鋁銅合金導線中的腐蝕現象以及銅導線於低介電係數(low-k)介質層製程所產生的金屬腐蝕缺陷。
    在第一部份主要討論閘極氧化層的可靠性問題。首先,吾人提出在金氧半(MOS)電晶體中因小於2 奈米極薄厚度的閘極氧化層(gate oxide) 所呈現的異常電容-電壓(C-V)特性曲線之模式。將MOS中源極與汲極不接地來量測電容-電壓特性曲線,當強反轉發生時,只出現高頻的C-V特性曲線。反之,若將源極與汲極接地,則只呈現低頻的C-V 特性曲線。接著,吾人提出在深次微米互補式金氧半電晶體 (CMOS) 之製程技術中,gate oxide沉積前的清洗製程對電晶體元件的表現以及對閘極氧化層強化性(GOI)損壞的影響。經由實驗分析以及量測結果可知,以熱的去離子水來做晶片清洗,通道表面上所產生的細微粗糙度及應力,將使得GOI降低並導致電晶體元件的特性(飽和電流、起始電壓、漏電率等)分布變化更大。除此之外,吾人亦提出另一種於多晶矽閘極(Poly gate)成型後因晶片清洗所產生的可靠性問題。例如,在一般晶舟浸潤式的溼式化學清洗槽中,以去離子水來清除附著於晶片表面上的化學物質時會使用較強的沖洗力道,因而可能造成Poly gate的破壞,進而產生可靠性的問題。本篇論文提供了一個好的方法既在晶片清洗過程中可藉由適當的沖洗力道強弱的調整來控制去除雜質(Particle)的效率,並且找出減少Poly gate被破壞的方案來發展晶片清洗的製程技術,給未來先進的奈米製程技術作為參考。
    第二部份主要討論是金屬導線的可靠性問題。首先研究的是Co salicide導線的應力效應。於Co salicide 的製程中,導線的阻值會隨著不同環繞結構所產生的應力而有所變化,此應力的大小及方向性對於鈷原子的擴散、Co salicide的厚度、及矽化金屬的形狀,皆有著極大的影響。此研究在對於深次微米CMOS製程技術中,應力效應對Co salicide導線阻值的影響,提供了相當有價值的資訊。
    此外,本論文也研究雙鑲嵌銅製程中因介質孔側壁(Via sidewall)所影響的電子遷移(electromigration)。在銅導線中因Via sidewall導致的電子遷移失效機制,主要是由於介質孔側壁間不平衡的熱應力、銅與氮化鉭(TaN)間的熱膨脹、及氮化鉭的表面(例如表面粗糙度與晶格大小的效應)所引起。為了對這些因素作更詳細的研究與鑑定,吾人利用穿透式電子顯微鏡(TEM)、場發射掃描式電子顯微鏡(FESEM)、與原子力顯微鏡(AFM)的量測結果來輔助說明。另外由實驗結果証明,可藉由提高TaN阻障(barrier)的階梯覆蓋率(step coverage)來增強銅製程中電子遷移的性能。吾人並建議於深次微技術中,將此step coverage列為重要的製程整合主題。
    另一個由製程所導致內連線的可靠度問題,吾人發現是起始於鋁金屬應用的鎢插塞(W-plug)所發生的腐蝕現象。在鋁金屬導線製程中,鎢是被使用為介質孔洞的填充物,在伴隨著虛擬金屬刻線(dummy metal)的介質孔串(via chains)中,其具有較高的介質孔開路故障率。經過乾式蝕刻製程後,可以明顯發現正電荷殘留在虛擬金屬刻線上,進而引起電場的產生,並與隨後的聚合物濕式剝除製程產生電化學反應,而提高鎢插塞的分解。為了減低介質孔開路故障發生率,可利用增長通入氮氣(N2)或氬氣(Argon)的製程時間來消除靜電,或利用水蒸氣亦可完全消除介質孔的開路故障。因此吾人認為在鋁金屬導線的技術中,鎢插塞的腐蝕主要是由dummy metal 放電效應所支配。
    最後是以探討銅導線邊緣的腐蝕缺陷做為金屬導線的可靠性問題之研究。在以碳基氧化矽(SiOC)為low-k介電質層的雙鑲嵌銅製程中,隨著銅化學機械平坦化(Cu CMP)製程之延遲時間的增加,所引起的銅線邊緣腐蝕缺陷比起以氟矽玻璃(FSG)為常見的介電層,較為明顯增加。吾人利用接觸角水滴實驗來檢測,顯示水滴於碳基氧化矽表面的接觸角(θ)大於在氟矽玻璃上。因為low-k介電質材料擁有斥水性(hydrophobic)特性,因而產生較強的表面張力,並將化學機械研磨液(CMP slurry)中的過氧化氫(H2O2)分子推向銅線邊緣,因此發生邊緣腐蝕缺陷之現象高於在氟矽玻璃材料上。根據接觸角實驗及SEM的分析結果證實,因low-k碳基氧化矽的斥水特性,於Cu CMP製程中slurry的H2O2分子累積而造成銅導線的邊緣腐蝕缺陷。

    This dissertation investigates how the pre and post gate oxide processes affect the reliability of 1) gate oxide, and, more importantly, 2) failure mechanisms of interconnect reliability in deep submicron CMOS technology, i.e., cobalt salicide formation, electromigration of Cu dual damascene, corrosions of tungsten plug (W-plug) in Al metallization and Cu line in low-k dielectric, respectively.
    The first part of this study focuses on the gate oxide reliability. To begin, I will report the modeling of abnormal capacitance-voltage (C-V) characteristics found in MOS transistors with ultra-thin gate oxide less than 2.0 nm. The abnormal features of the measured C-V curves in strongly inversion state include both high frequency styles without source/drain (S/D) connected to ground, and lower frequency styles with S/D connected to ground. After describing the model, I will discuss the impacts on devices performance and gate oxide integrity (GOI) degradation, caused by the pre-gate oxide clean process in deep submicron CMOS technology. Our analysis shows that the GOI degradation, which causes a large fluctuation of devices performance (like Isat, Vt, leakage current, etc.), is attributable to the channel surface micro-roughness and stress generated from hot de-ionized (DI) water clean. In addition to the pre gate oxide process, the wafer clean process after patterning poly gate electrode introduces additional reliability issues. For example, the physical forces, originated from high shower flow rate in a typical immersion of cassettes of wafers into wet chemical cleaning baths, cause the poly-line damages during DI water flushing for adhesion chemical removal. However, since the correction of damages may affect particle removal efficiency, the physical forces should be properly tuned. We think this study offers good methods to develop wafer-cleaning process for future advanced nanometer CMOS technology.
    The second part of this dissertation focuses on interconnect reliability. This part begins with the study of resistance variations of cobalt (Co) salicide interconnect, which is caused by different stresses in surrounding structures. During the salicidation processes, these stress levels induce diffusion of Co atoms and thus salicide thickness, and forms of Co salicide layer. This study offers valuable information for the studies of stress-induced effects on Co salicide resistance in deep submicron CMOS technology.
    The study of interconnect reliability continues with a systematic discussion on the electromigration (EM) failure in via sidewall for Cu dual damascene process. The failure mechanisms are caused by the unbalance thermal stress, thermal expansion between copper and TaN, and TaN surface morphology (e.g. surface roughness and grain size effects). These factors were investigated and evaluated in details through transmission microscope, field emission scanning electronic microscope, and AFM. Moreover, I will give an experiment resulting that the enhancement of step coverage of TaN barrier layer to prolong the EM lifetime, and suggest that the step coverage of TaN barrier layer becomes an important integration subject for sub-0.13μm and beyond technologies.
    Another interconnect reliability relates to the process induced W-plug corrosion from Al metallization applications. Since the W is used as filling material of via holes in Al interconnect, the via chains with dummy metal have a higher via-open failure rate than those without. The failure is due to the positive charges remained on dummy metal after metal dry etch process, which induces an electrical field to enhance the electrochemical reaction of dissolving W-plug in the followed polymer wet strip process. To eliminate failure, we need to bear in mind that the cumulative percentage of nominal via resistance decreases with increasing de-chuck process time for both N2 and argon. That is, when H2O vapor ambient is used, via resistance failures will be eliminated. Therefore, this part shows that the dummy metal charging effect dominates the W-plug corrosion in Al metallization technology.
    The study of interconnect reliability concludes with a discussion on Cu line edge corrosion in the low-k dielectric layer, the damage caused by H2O2 from a certain Cu CMP process idle time. A simulated process using water droplet indicates that the contact angle (θ) of the water droplet placed on low-k SiOC (64.6°) is larger than that of FSG (52.7°) at the initial instant contact. The difference in angle is due to hydrophobic characteristic of low-k dielectric, which induces a high surface tension. This in turn pushes the H2O2 molecules contained in CMP slurry toward the edge of the Cu line, thus resulting in a greater corrosive reaction than FSG. The contact angle measurements and additional SEM analysis further confirm that the Cu line edge corrosion is attributable to the accumulation of the H2O2 molecules from CMP slurry because of the hydrophobic property of low-k SiOC film.

    中文摘要 (I) 英文摘要 (IV) 誌謝 (VII) 目錄 (VIII) Table Captions (XI) Figure Captions (XII) Chapter 1 Introduction 1 1.1 Background 1 1.2 Preface of this Dissertation 3 Chapter 2 Gate Oxide Reliability in Deep Submicron CMOS Technology 9 2.1 Introduction 9 2.2 Abnormal Capacitance-Voltage Curves of Ultra-Thin Gate Oxide 10 2.2.1 Capacitance-Voltage Curves 10 2.2.2 Devices Fabrication 11 2.2.3 Modeling of C-V Characteristics 11 2.3 Impacts of Pre-gate Oxide Clean on Silicon Surface 12 2.3.1 Wafer Clean Process 12 2.3.2 Devices Fabrication 13 2.3.3 Effects of Hot DI Water Clean 14 2.4 Damage Mechanism of Polysilicon Gate Linewidth 16 2.4.1 Polysilicon Gate Damage 16 2.4.2 Defect Source Analysis (DSA) 17 2.4.3 Particle Remove versus Poly-line Damage 18 2.5 Conclusion 19 Chapter 3 Stress Effect on Cobalt Salicide Formation 39 3.1 Cobalt Salicide Formation 39 3.2 Devices Fabrication 40 3.3 Modeling of Stress Effects on Cobalt Salicide 41 3.4 Conclusion 43 Chapter 4 Electromigration in Copper Dual Damascene Interconnection 49 4.1 Reliability of Cu Interconnect 49 4.2 Cu Dual Damascene Process 50 4.3 Failure Mechanism of Electromigration in Via Sidewall for Cu Interconnect 51 4.4 Conclusion 54 Chapter 5 Processes Affect Interconnect Corrosion 66 5.1 Introduction 66 5.2 Tungsten Plug Corrosion in Al Interconnect 67 5.2.1 Micro-loading Effect of Metal Etch Process 67 5.2.2 Devices Fabrication 68 5.2.3 Charging Effect Examinations and Improvement 69 5.3 Cu Corrosion for Cu/Low-k SiOC Interconnect 70 5.3.1 Cu Line Edge Corrosion 70 5.3.2 Devices Fabrication 71 5.3.3 Modeling of Cu Line Edge Corrosion 72 5.4 Conclusion 75 Chapter 6 Conclusions and Prospects 94 6.1 Conclusions 94 6.1.1 Gate Oxide Reliability 94 6.1.2 Interconnect Reliability 95 6.2 Prospects 96 6.2.1 Gate Oxide Technology 97 6.2.2 Salicide Interconnect – from Cobalt to Nickel 97 6.2.3 Cu Interconnect – Barrier Layer, Dielectric, and Planarization 98 Reference 100 Appendix A Author’s Resume Appendix B Author’s Related Publications

    [1] J. D. Plummer, M. D. Deal, and P. B. Griffin, “ SILICON VLSI
    Technology - Fundamentals, practice and Modeling”, Prentice Hall,
    2000.
    [2] “National Technology Roadmap for Semiconductors”, SIA, 1997.
    [3] D. K. Schroder, “Semiconductor Material and Device
    Characterization”, John Wiley & Sons, 1990.
    [4] E. H. Nicollian, and J. R. Brews, “MOS (metal-oxide-semiconductor)
    Physics and Technology”, John Wiley & Sons, 1982.
    [5] T. Ohmi, “Future trends and applications of ultra-clean
    technology,” in. IEDM Tech. Dig., 1989, pp. 49–52.
    [6] J. A. Amick, “Cleanliness and the cleaning of silicon wafers”,
    Solid State Technology, vol. 19, No. 11, 1976, pp. 47-52.
    [7] S. M. Merchant, K. Seung H., M. Sanganeria, B. V. Schravendijk, and
    T. Mountsier, J. of Electronic Materials Vol. 53, pp. 43, 2001.
    [8] T. Hara, F. Togoh, T. Kurosu, K. Sakamoto, Y. Shioya, T. Ishimaru,
    and T. K. Doy, “Mechanism of mechanical and chemical polishing in
    low dielectric constant plasma-enhanced chemical vapor deposition
    SiOC layer from hexamethyldisiloxane”, Electrochemical and Solid
    State Letters, Vol. 4, G65-G67, 2001.
    [9] C. L. Borst, V. Korthuis, G. B. Shinn, J. D. Luttmer, R. J. Gutmann,
    and W. N. Gill, “Chemical–mechanical polishing of SiOC
    organosilicate glasses: the effect of film carbon content”, Thin
    Solid Films, 385, pp. 281, 2001.
    [10] D. H. Wang, S. Chiao, M. Afnan, P. Yih, and M. Rehayem, “Stress-free
    polishing advances copper integration with ultralow-k dielectrics”,
    Solid State Technology, Vol. 44, pp.101, 2006.
    [11] Y. J. Seo, N. H. Kim, and W. S. Lee, “Effects of conditioning
    temperature on polishing pad for oxide chemical mechanical polishing
    process”, Microelectronic Engineering, Vol. 82, pp. 680, 2005.
    [12] N. H. Kim, Y. J. Seo, and W. S. Lee, “Temperature effects of pad
    conditioning process on oxide CMP: Polishing pad, slurry
    characteristics, and surface reactions”, Microelectronic
    Engineering, Vol. 83, pp. 362, 2006.
    [13] W. Kern and D. Pauotinen, “Radiochemical study of semiconductor
    surface contamination”, RCA Rev., Vol. 31, pp. 187, 1970.
    [14] T. Ohmi, M. Miyashita, M. Itano, T. Imaoka, and I. Kawanabe,
    “Dependence of thin-oxide films quality on surface microroughness”,
    IEEE Transactions on Electron Device, Vol. 39, pp. 537, 1992.
    [15] M. Grundner, D. Graf, P. O. Hahn, and A. Schnegg, “Wet Chemical
    Treatments of Si Surfaces: Chemical Composition and Morphology”,
    Solid State Technology, pp. 69, 1991.
    [16] C. H. Choi, J. S. Goo, T. Y. Oh, Z. Yu, R. W. Dutton, A. Bayoumi, M.
    Cao, P. V. Voorde, D. Vook, and C. H. Diaz, “MOS C-V
    characterization of ultra thin gate oxide thickness (1.3-1.8 nm)”,
    IEEE Electron Devices Letters, vol. 20, no. 6, pp. 292-294, 1999.
    [17] Y. Taur, D. A. Buchanan, W. Chen, D. J. Frank, K. E. Ismail, S. H.
    Lo, G. A. Sai-Halasz, R. G. Viswanathan, J. C. Wann, S. J. Wind, and
    H. S. Wong, “CMOS scaling into nanometer regime”, Proc. IEEE vol.
    85, no. 4, pp. 486-504, 1997.
    [18] B. J. Gordon, “C-V Plotting : Myths and Methods”, Solid State
    Technology, pp. 57-61, Jan., 1993.
    [19] T. Ohmi, T. Tsuga, J. Takano, M. Kogure, K. Makihara, and T. Imaoka,
    “Influence of vacancy in silicon wafer of various types on surface
    microroughness in wet chemical process”, IEICE Transactions on
    Electronics, Vol.E75-C, pp.800-808, 1992.
    [20] M. Offenberg, M. Liehr, and G. W. Rubloff, “Surface etching and
    roughening in integrated processing of thermal oxides”, The Journal
    of Vacuum Science and Technology A, Vol. 9, pp. 1058, 1991.
    [21] W. Chang, C. C. Chen, J. C. Lu, S. J. Liou, W. J. Tsai, S. Y. Liu, H.
    J. Lee, Y. I. Wang, H. C. Lin, C.H. Yeh, K. Linliu, S. Z. Chang, S.
    J. Shen, L. W. Chen, S. S. Peng, S. H. Hung, Y. L. Hsiao, C. N.
    Hsieh, C. I. Li, M. Chang, and K. H. Lee, “300 mm process
    integration for 0.13μm generation with Cu/low-k interconnect
    technology”, International Electron Devices Meeting, IEDM Technical
    Digest, pp. 28.2.1, 2001.
    [22] Y. Taur and T. H. Ning,” Fundamentals of Modern VLSI Devices”,
    Chap. 3, p. 120, CAMBRIDGE, New York, 1998.
    [23] I. H. Chen, C. W. Teng, D. J. TENG, and A. Nishimura, “Interface-
    trap enhanced gate-induced leakage current in MOSFET”, IEEE Electron
    Device Letter Vol. 10, pp. 216, 1989.
    [24] J. H. Eisenberg, S. H. Shive, F. Stevie, G. S. Higashi, T. Boone, K.
    Hanson, J. B. Sapjeta, G. N. Dibello, and K. L. Fulford, Mater. Res.
    Soc. Symp. Proc. Vol. 315, pp. 485, 1993.
    [25] C. N. Berglund, “Surface states at steam-grown silicon-silicon
    dioxide interfaces”, IEEE Trans. on Electron Device, Vol. ED-13, pp.
    702, 1966.
    [26] M. Kuhn, “A quasi-static technique for MOS C-V and surface state
    measurements”, Solid-State Electron. Vol. 13, pp. 873, 1970.
    [27] T. C. Yang and K. C. Saraswat, “Effect of physical stress on the
    degradation of thin SiO2 films under electrical stress”, IEEE Trans.
    on Electron Devices, Vol. 47, pp. 746, 2000.
    [28] S. Rajagopalan, U. Mitra, S. Pan, K. Gupta, C. M. Lin, G. Sery, S.
    Mittal, K. Hasserjian, W. J. Lo, and G. Neubauer, “Reaction of DI
    water and. silicon and its effect on gate oxide integrity”, IEEE
    IRPS Proc., pp. 28, 1993.
    [29] M. Miyashita, T. Tusga, K. Makihara, and T. Ohmi, “Dependence of
    surface microroughness of CZ, FZ, and EPI wafers on wet chemical
    processing”, Journal of the Electrochemical Society, Vol. 139, pp.
    2133, 1992.
    [30] S. Kitahara, and M. Sugita, “Carrierless system for wet cleaning”,
    1995 International Symposium on Semiconductor Manufacturing, pp. 142-
    145, 1995.
    [31] T. Suzuki, H. Kunishima, T. Wake, and S. Chikaki, “High
    controllability and low cost APM process by one-bath type wet-bench
    for multi gate oxide pre-cleaning”, The Ninth International
    Symposium on Semiconductor Manufacturing, pp. 29-32, 2000.
    [32] Y. Kim, H. Cho, and J. Kim, “Megasonic free single wafer cleaning
    using ozone jet without pattern damage and with minimum substrate
    etching”, IEEE Transactions on Semiconductor Manufacturing, Vol. 17,
    No. 3, August, pp. 261-266, 2004.
    [33] S. Verhaverbeke, R. Gouk, and D. Yost, “Using megasonics for
    particle and residue removal in single wafer cleaning”, Solid State
    Phenomena Vols. 103-104, pp. 151-154, 2005.
    [34] J. D. Plummer, M. D. Deal, and P. B. Griffin, “SILICON VLSI
    TECHNOLOGY”, pp. 159-161, Prentice Hall, 2000.
    [35] D. K. Shon, J. S. Park, B. H. Lee, J. U. Bae, K. S. Oh, J. S. Byun,
    and J. J. Kim, “High thermal stability and low junction leakage
    current of Ti-capped Co SALICIDE and its feasibility for high thermal
    budget CMOS devices”, in IEDM Tech. Dig., pp. 1005-1008, 1998.
    [36] S. Wolf, “Silicon Processing for the VLSI ERA Volume 2: Process
    Integration”, pp. 150-152, 1990.
    [37] H. Takahashi, S. Muramatsu, and M. Itoigawa, “A new contact
    programming ROM architecture for digital signal processor”, IEEE
    Symposiun on VLSI Circuit Digest of Technical Papers, pp. 158-161,
    1998.
    [38] J. H. Lee, S. H. Park, K. M. Lee, K. S. Youn, Y. J. Park, C. J. Choi,
    T. Y. Seong, and H. D. Lee, “A study of stress-induced P+/n
    salicided junction leakage failure and optimized process conditions
    for sub-0.15-μm CMOS technology”, IEEE Transactions on Electron
    Devices, Vol. 49, No. 11, pp. 1985-1992, November 2002.
    [39] P. Fornara and A. Poncet, “Modeling of local reduction in TiSi2 and
    CoSi2 growth near spacer in MOS technologies: Influence of mechanical
    stress and main diffusing speices”, IEEE Electron Devices Meeting,
    pp. 73-76, 1996.
    [40] C. Stuer, J. V. Landuyt, H. Bender, I. D. Wolf, R. Rooyackers, and G.
    Badenes, “Investigation by convergent beam electron diffraction of
    the stress around shallow trench isolation structures”, Journal of
    the Electrochemical Society, 148 (11), G597-G601, 2001.
    [41] S. M. Sze, “VLSI technology,” McGraw-Hill, New York, pp.111-115,
    1988.
    [42] Y. C. Kim, J. Kim, J. H. Choy, J. C. Park, and H. M. Choi, “Effects
    of cobalt silicidation and postannealing on void defects at the
    sidewall spacer edge of metal-oxide-silicon field-effect
    transistors”, Applied Physics Letters, vol. 75, no. 9, pp. 1270-
    1272, August 1999.
    [43] C. Bruynseraede, Zs. Tokei, F. Iacopi, G. P. Beyer, J. Michelon, and
    K. Maex, “The impact of scaling on interconnect reliability”, IEEE
    43rd Annual Intimation Reliability Physics Symposium, pp. 7, 2005.
    [44] C. M. Tan, A. Roy, A. V. Vairagar, and S. G. Mhaisalkar, “Current
    crowding effect on copper dual damascene via bottom failure for ULSI
    applications”, IEEE Transactions On Device and Materials
    Reliability, Vol. 5, pp. 198, 2005.
    [45] J. B. Lai, J. L. Yang, Y. P. Wang, S. H. Chang, R. L. Hwang, and C.
    S. Hou, “A study of bimodal distributions of time-to-failure of
    copper via electromigration”, IEEE International Interconnect
    Technology Conference, pp. 271, 2001.
    [46] A. H. Fischer, A. V. Glasow, S. Penga, and F. Ungar,
    “Electromigration failure mechanism studies on copper
    interconnects”, in Proceedings of the IEEE 2002 International
    Interconnect Technology Conference, pp. 139, 2002.
    [47] T. Suzuki, S. Ohtsuka, A. Yamanouse, T. Hosoda, Y. Matsuoka, K.
    Yanai, H. Matsuyama, H. Mori, N. Shimizu, T. Nakamura, S. Suatani, K.
    Shono, and H. Yagi, “Stress induced failure analysis by stress
    measurements in copper dual damascene interconnects”, in Proceedings
    of the IEEE 2002 International Interconnect Technology Conference,
    pp. 229, 2002.
    [48] K. Ishikawa, T. Iwasaki, T. Fujii, N. Nakajima, M. Miyauchi, T.
    Ohshima, J. Noguchi, H. Aoki, and T. Saito, “Impact of metal
    deposition process upon reliability of dual-damascene copper
    interconnects”, in Proceedings of the IEEE 2003 International
    Interconnect Technology Conference, pp. 24, 2003.
    [49] T. C. Wang, T. E. Hsieh, M. T. Wang, D. S. Su, C. H. Chang, Y. L.
    Wang, and J. Y. Lee, “Stress migration and electromigration
    improvement for copper dual damascene interconnection”, Journal of
    The Electrochemical Society, pp. 260, 2005.
    [50] K. Yoshida, T. Fujimaki, K. Miyamoto, T. Honma, H. Kaneko, H.
    Nakazawa, and M. Morita, “Stress-induced voiding phenomena for an
    actual CMOS VLSI interconnects”, IEDM, IEEE, pp. 753, 2002.
    [51] M. Traving, G. Schindler, G. Steinlesberger, W. Steinhogl, and M.
    Engelhardt, in Advanced Metallization Conference 2004, pp. 671, 2005.
    [52] K. Banerjee, A. Mehrotra, W. Hunter, K. C. Saraswat, K. E. Goodson,
    and S. S. Wong, “Quantitative projections of reliability and
    performance for low-k/Cu interconnect systems”, IEEE 38th Annual
    Intimation Reliability Physics Symposium, p. 354, 2000.
    [53] C. Y. Chang, and S. M. Sze, “ULSI Technology”, McGrawn-Hill, New
    York, 1996.
    [54] Y. J. Park, K. D. Lee, and W. R. Hunter, “Observation and
    restoration of negative electromigration activation energy behavior
    due to thermo-mechanical effects”, IEEE 43th Annual Intimation
    Reliability Physics Symposium, pp. 18, 2005.
    [55] S. Orain, J. C. Barbe, X. Fedrspiel, P. Legallo, and H. Jaoduen,
    “FEM-based method to determine mechanical stress evolution during
    process flow in microelectronics. Application to stress-voiding”,
    IEEE 5th Int. Conf. Thermal and Mechanical Simulation and Experiments
    in Micro-Systems, pp. 47, 2004.
    [56] E. T. Ogawa, J. W. McPheroson, J. A. Rosai, K. J. Dicherson, T. C.
    Chiu, L. Y. Tsung, M. K. Jain, T. D. Bonified, and J. C. Ondrusek,
    “Stress-induced voiding under vias connected to wide Cu metal
    leads”, IEEE 40th Annual Intimation Reliability Physics Symposium,
    pp. 312, 2002.
    [57] S. B. Kim, H. Seo, Y. Kim, and H. Jeon, Journal of the Korean
    Physical Society, Vol. 41, pp. 247, 2002.
    [58] K. S. Chen, A. A. Ayón, X. Zhang, and S. M. Spearing, “Effect of
    process parameters on the surface morphology and mechanical
    performance of silicon structures after deep reactive ion etching
    (DRIE)”, Journal of Microelectromechanical Systems, vol. 11, pp.
    264, 2002.
    [59] T. Y. Chiang, K. Banerjee, and K. C. Saraswat, “Analytical thermal
    model for multilevel VLSI interconnects incorporating via effect”,
    IEEE Electron Device Letters, Vol. 23, pp. 31, 2002.
    [60] D. P. Field, J. M. Yanke, E. V. Mcgowan, and C. A. Michaluk,
    “Microstructural development in asymmetric processing of tantalum
    plate”, Journal of Electronic Materials, Vol. 34, pp. 1521, 2005.
    [61] C. Y. Lin, Y. K. Fang, S. F. Chen, C. S. Lin, T. H. Chou, S. B.
    Hwang, J. S. Hwang, and K. I. Lin, “Preferential coalescence of
    nanocrystalline silicon on different film substrates”, J. Non-Cryst.
    Solids, Vol. 352, pp. 44, 2006.
    [62] C. D. Hartfield, E. T. Ogawa, Y. J. Park, and T. C. Chiu, “Interface
    reliability assessments for copper/low-k products”, IEEE
    Transactions On Device and Materials Reliability, Vol. 4, pp. 129,
    2004.
    [63] E. T. Ogawa, K. D. Lee, V. A. Blaschke, and P. S. Ho,
    “Electromigration reliability issues in dual-damascene Cu
    interconnections”, IEEE Transactions On Reliability, Vol. 51, pp.
    403, 2002.
    [64] S. Bothra, H. Sur, and V. Liang, “A new failure mechanism by
    corrosion of tungsten in a tungsten plug process’, IEEE, Reliability
    Physics Symposium Proceedings, pp. 150-156, 31 March-2 April 1998.
    [65] J. E. Lee, J. H. Chung, H. Park, T. W. Seo, S. H. Park, U. I. Chung,
    G. W. Kang, and M. Y. Lee, “Plasma charge-induced corrosion of
    tungsten-plug vias in CMOS devices”, IEEE International Conference,
    pp. 273-275, 24-26 May 1999.
    [66] T. Hara, F. Togoh, T. Kurosu, K. Sakamoto, Y. Shioya, T. Ishimaru and
    T. K. Doy, “Mechanism of mechanical and chemical polishing in low
    dielectric constant plasma-enhanced chemical vapor deposition SiOC
    layer from hexamethyldisiloxane”, Electrochemical and Solid-State
    Letters, Vol. 4, pp. G65, 2001.
    [67] C. L. Borst, V. Korthuis, Gr. B. Shinn, J. D. Luttmer, R. J. Gutmann
    and W. N. Gill, “Chemical–mechanical polishing of SiOC
    organosilicate glasses: the effect of film carbon content”, Thin
    Solid Film, Vol. 385, pp.281, 2001.
    [68] D. H. Wang, S. Chiao, M. Afnan, P. Yih and M. Rehayem, “Stress-free
    polishing advances copper integration with ultra-low k dielectrics”,
    Solid State Technology, Vol. 44, pp. 101, 2001.
    [69] Y. J. Seo, N. H. Kim and W. S. Lee, “Effects of conditioning
    temperature on polishing pad for oxide chemical mechanical polishing
    process,” Microelectronic Engineering, Vol. 82, pp. 680, 2005.
    [70] N. H. Kim, Y. J. Seo and W. S. Lee, “Temperature effects of pad
    conditioning process on oxide CMP: Polishing pad, slurry
    characteristics, and surface reactions,” Microelectronic
    Engineering, Vol. 83, pp. 362, 2006.
    [71] R. Carel, W.S. Blackley, E.E. Thompson, and J. Chen, “Process trends
    for DPS metal etch: a case study for Al-1%Cu logic devices,”
    IEEE/SEMI, Advanced Semiconductor Manufacturing Conference and
    Workshop, pp. 246, 10-12 Sept. 1997.
    [72] L. Wang and F. M. Doyle, “Mechanisms of passivation of copper in CMP
    slurries containing peroxide and glycine,” Mat. Res. Soc. Symp.
    Proc., 2003.
    [73] W. Fyen, R. Vos, I. Teerlinck, S. Largrange, J. Lauerhaas, M. Meuris
    and M. Heyns, “Critical issues in post Cu CMP cleaning,” IEEE 9th
    International Symposium on Semiconductor Manufacturing, pp. 415, 2000.
    [74] F. Exl and J. Kindersberger, “Contact angle measurement on insulator
    surfaces with artificial pollution layers and various surface
    roughnesses,” Proceedings of the 14th International Symposium on
    High Voltage Engineering, pp. 1, 2005.
    [75] A. Sklodowska, M. Wezniak and R. Matlakowaka, “Biol. Proc. Online”,
    Vol. 1, pp. 114, 1999.
    [76] J. Keleher, K. Rushing, J. Zhao, B. Wojtczak and Y. Li, “Supra
    molecular abrasive-free system for Cu CMP,” Mat. Res. Soc. Symp.
    Proc. pp. 231, 2003.
    [77] N. Fujiwara, Y. L. Liu, M. Takahashi and H. Kobayashi, “Mechanism of
    copper removal from SiO2 surfaces by hydrogen cyanide aqueous
    solutions,” Journal of the Electrochemical Society, Vol. 153, pp.
    394, 2006.
    [78] T. Du, D. Tamboli, V. Desai and S. Seal, “Mechanism of copper
    removal during CMP in acidic H2O2 slurry,” Journal of the
    Electrochemical Society, Vol. 151, pp.230, 2004.
    [79] A. Krishnan, Y. H. Liu, P. Cha, R. Woodward, D. Allara and E. A.
    Vogler, “An evaluation of methods for contact angle measurement,”
    Colloids and Surfaces B: Biointerfaces, Vol. 43, pp. 95, 2005.
    [80] L. R. Pratt and A. Pohorille, “Theory of hydrophobicity: transient
    cavities in molecular liquids,” Proc. Natl. Acad. Sci. Vol.89, pp.
    2995, 199.
    [81] G. Hummer, S. Garde, A. E. Garcia, A. Pohorille and L. R. Pratt, “An
    information theory model of hydrophobic interactions,” Proc. Natl.
    Acad. Sci. Vol. 93, pp.8951, 1996.
    [82] G. Mchale, N. J. Shirtcliffe and M. I. Newton, “Contact-Angle
    Hysteresis on Super-Hydrophobic Surfaces,” Langmuir, Vol. 20, pp.
    10146, 2004.
    [83] Y. Takata, S. Hidaka, M. Masuda and T. Ito, “Pool boiling on a
    superhydrophilic surface,” Int. J. Energy Res. Vol. 27, pp. 111,
    2003.
    [84] I. K. Kim, Y. J. Kang, Y. K. Hong, and J. G. Park, “Effect of
    corrosion inhibitor (BTA) in citric acid based slurry on Cu CMP,”
    MRS Proceedings, Vol. 867, pp. w1.3, 2005.
    [85] D. E. Pitkanen and C. J. Speerschneider, “Environmental effects on
    copper thick film microcircuits,” IEEE Transactions on Components,
    Hybrids, and Manufacturing Technology, Vol. 3, pp. 250, 1981.
    [86] R. J. Nika and P. M. Hall, “Oxidation kinetics of Cu thin films in
    air at 100 degree C to 300 degree C”, IEEE Transactions on
    Components, Hybrids, and Manufacturing Technology, Vol. 4, pp. 412,
    1979.
    [87] T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, T. Hoffmann, K.
    Johnson, C. Kenyon, J. Klaus, B. Mclntyre, K. Mistry, A. Murthy, J.
    Sandford, M. Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S.
    Thompson and M. Bohr, “A 90nm high volume manufacturing logic
    technology featuring novel 45nm gate length strained silicon CMOS
    transistors”, in IEDM Tech. Dig., pp. 978-980, 2003.
    [88] J. A. Kittl, A. Lauwers, O. Chamirian, M. V. Dal, A. Akheyar, O.
    Richard, J. G. Lisoni, M. D. Potter, R. Lindsay, and K. Maex,
    “Silicides for 65 nm CMOS and beyond”, MRS Spring, pp. D7.5, 2003.

    下載圖示 校內:2009-01-24公開
    校外:2010-01-24公開
    QR CODE